From b4c2eecca65f60d518affc2eb5b48aa21701deb6 Mon Sep 17 00:00:00 2001 From: Matthew Jordan Date: Tue, 23 Jul 2013 00:16:59 +0000 Subject: Fix unbalanced lock when serializing CDR variables I'm only surprised that this didn't cause larger problems. git-svn-id: https://origsvn.digium.com/svn/asterisk/trunk@395089 65c4cc65-6c06-0410-ace0-fbb531ad65f3 --- main/cdr.c | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'main/cdr.c') diff --git a/main/cdr.c b/main/cdr.c index 056ca32a9..2e6209551 100644 --- a/main/cdr.c +++ b/main/cdr.c @@ -3054,7 +3054,7 @@ int ast_cdr_serialize_variables(const char *channel_name, struct ast_str **buf, total++; } } - + ao2_unlock(cdr); return total; } -- cgit v1.2.3