summaryrefslogtreecommitdiff
path: root/drivers/dahdi/xpp/firmwares/PIC_TYPE_3.hex
diff options
context:
space:
mode:
Diffstat (limited to 'drivers/dahdi/xpp/firmwares/PIC_TYPE_3.hex')
-rw-r--r--drivers/dahdi/xpp/firmwares/PIC_TYPE_3.hex733
1 files changed, 368 insertions, 365 deletions
diff --git a/drivers/dahdi/xpp/firmwares/PIC_TYPE_3.hex b/drivers/dahdi/xpp/firmwares/PIC_TYPE_3.hex
index aa0d222..49481d1 100644
--- a/drivers/dahdi/xpp/firmwares/PIC_TYPE_3.hex
+++ b/drivers/dahdi/xpp/firmwares/PIC_TYPE_3.hex
@@ -1,373 +1,376 @@
#
# $Id$
#
-:03000000A0AC08A9
-:03000100C41038F0
-:030002000392194D
-:03000300679E07EE
-:03000400A0302009
-:030005007793608E
-:03000600A05360A4
-:03000700C40360CF
+:03000000A0AC00B1
+:03000100C41039EF
+:0300020003903830
+:03000300679C08EF
+:03000400A03038F1
+:03000500779219D6
+:03000600A05E07F2
+:03000700C4002012
:03000800039360FF
-:03000900800C1058
-:03000A00C0F0380B
-:03000B0003421994
-:03000C00C08E079C
-:03000D000381204C
-:03000E00219C3002
-:03000F00E071801D
-:03001000020E706D
-:030011003606436D
-:03001200360A1695
-:03001300360C01A7
-:030014003600387B
-:03001500C10A1508
-:03001600038C2038
-:0300170021902312
-:03001800E07A0A81
-:03001900120C4185
-:03001A00C30039E7
-:03001B001806794B
-:03001C00E70A1BD5
-:03001D00743779BC
-:03001E00A20A1D16
-:03001F00A23C40C0
-:03002000C01039D4
-:0300210003880051
+:0300090080036011
+:03000A00C0F360E0
+:03000B000343604C
+:03000C00C08C1095
+:03000D0003803835
+:03000E0021921923
+:03000F00E07E0789
+:03001000020120CA
+:03001100360C307A
+:0300120036018034
+:03001300360E7036
+:030014003606436A
+:03001500C10A1904
+:03001600038C0157
+:03001700219038FD
+:03001800E07A1873
+:03001900120C20A6
+:03001A00C30023FD
+:03001B00180A0AB6
+:03001C00E70C41AD
+:03001D0074303903
+:03001E00A20679BE
+:03001F00A23A1EE4
+:03002000C017798D
+:03002100038A202F
:03002200A22C40CD
:03002300C1803960
-:03002400038C004A
-:0300250021903AED
-:03002600E0F6F908
-:0300270002BA7B9F
-:03002800C007D935
-:03002900038A2423
-:03002A00C0020908
-:03002B0002C02CE4
-:03002C0002EC40A3
-:03002D00C406D432
-:03002E0060CD01A1
-:03002F00D01027C7
-:03003000027C004F
-:03003100C166D4D1
-:03003200208D011D
-:030033000300299E
-:030034002076F43F
-:03003500031A9813
-:03003600901C1605
-:03003700C00208FC
-:03003800D80030BD
-:0300390002920729
-:03003A00C00031D2
-:03003B0020891900
-:03003C00030214A8
-:03003D00209F10F1
-:03003E00031308A1
-:03003F0090130813
-:03004000C63308BC
+:030024000388004E
+:03002500219C40DB
+:03002600E0F039CE
+:0300270002BC0018
+:03002800C0003ADB
+:030029000386F952
+:03002A00C00A7E8B
+:03002B0002C7D930
+:03002C0002EA27BE
+:03002D00C4020901
+:03002E0060C02C83
+:03002F00D01C40A2
+:030030000276D481
+:03003100C16D019D
+:0300320020802704
+:03003300030C00BB
+:030034002076D45F
+:03003500031D01A7
+:03003600901029FE
+:03003700C006F40C
+:03003800D80A9B48
+:03003900029C1610
+:03003A00C00208F9
+:03003B00208030F2
+:03003C00030207B5
+:03003D00209031DF
+:03003E0003191C87
+:03003F0090121408
+:03004000C63F10A8
:03004100090308A8
-:03004200743E0306
-:03004300A4C2084C
-:03004400C0403089
-:030045001EE209AF
-:03004600C01031B6
-:030047001EC919B6
-:03004800C02215BE
-:0300490008C030BC
-:03004A0074320706
-:03004B00A2D0310F
-:03004C0020E9198F
-:03004D0008B209ED
-:03004E007036D336
-:03004F00A52D805C
-:0300500020B02AB3
-:0300510002E217B1
-:03005200C080303B
-:0300530008E20AB6
-:03005400603031E8
-:03005500A5991951
-:03005600C027D3ED
-:03005700D40A7652
-:03005800A6AC5003
-:03005900C010399B
-:03005A0003B79950
-:03005B00C04A5A3E
-:03005C0008E212A5
-:03005D00703030D0
-:03005E00A6621483
-:03005F0020E0316D
-:03006000348213D4
-:03006100348032B6
-:03006200348C00DB
-:03006300348033B3
-:0300640011BC705C
-:03006500A6A03919
-:03006600C04C503B
-:0300670002E0397B
-:0300680021B215AD
-:03006900D4003090
-:03006A0003B216C8
-:03006B00C00031A1
-:03006C0002C210BD
-:03006D00C400329A
-:03006E00027C0011
-:03006F00C000339B
-:03007000029C707F
-:03007100C0103983
-:0300720022CC504D
-:03007300743039AD
-:03007400A79C4006
-:03007500C0F0399F
-:0300760002BC00C9
-:03007700C4C039C9
-:03007800A8A6D95E
-:0300790008CA783A
-:03007A00743A22B3
-:03007B00A8021ABE
-:03007C00C07E70D3
-:03007D0002B74384
-:03007E00C4AA878A
-:03007F00A8AC80AA
-:0300800020CD0F81
-:0300810002B03496
-:03008200C02C048B
-:030083000AB03888
-:0300840032BC1477
-:030085007032C80E
-:03008600A89A85B0
-:03008700C80C4062
-:03008800129027AC
-:03008900C48C0123
-:03008A002086DAF3
-:03008B0003012747
-:03008C00207C00D5
-:03008D0003102934
-:03008E00901C01C2
-:03008F0020B6DABE
-:0300900003012940
-:03009100209209B1
-:03009200031D80CB
-:0300930090102C9E
-:03009400210C003C
-:03009500348D0F98
-:030096003480347F
-:03009700348AABFD
-:03009800348C079E
-:0300990002B1545D
-:03009A00C8064352
-:03009B0014BA9DF7
-:03009C007E9AA7A2
-:03009D00AA0C00AA
-:03009E0032A77412
-:03009F0012AC8020
-:0300A000C0102B62
-:0300A1001ECC086A
-:0300A200C1210B6E
-:0300A30008C03959
-:0300A400743C00A9
-:0300A500A6D039A9
-:0300A60020AA226B
-:0300A70002B20999
-:0300A80032B02C47
-:0300A900C5521429
-:0300AA0016A03A63
-:0300AB0016B21A70
-:0300AC0034AE303F
-:0300AD0010BF1071
-:0300AE0003130831
-:0300AF0021BD046C
-:0300B0000306EC58
-:0300B100C42D015A
-:0300B20003902F89
-:0300B300C40C0F6B
-:0300B400039208AC
-:0300B500C0003058
-:0300B6000232070C
-:0300B700A2203153
-:0300B800205919B3
-:0300B90008420FEB
-:0300BA00E3F20866
-:0300BB0002D03040
-:0300BC0020120906
-:0300BD000A003105
-:0300BE00C019194D
-:0300BF0022020911
-:0300C000743D800C
-:0300C1001ED02A24
-:0300C200C05C001F
-:0300C30002B02B5D
-:0300C40020DC1C21
-:0300C50008B20876
-:0300C60060303077
-:0300C700AC9207F1
-:0300C800ACE03178
-:0300C900C0591902
-:0300CA0002DC0055
-:0300CB00C0020868
-:0300CC0002B0304F
-:0300CD00AD320A47
-:0300CE00220031DC
-:0300CF0064391978
-:0300D000B4121057
-:0300D100C016282E
-:0300D20002BAC4AB
-:0300D30021A60B58
-:0300D400E70B6CCB
-:0300D500D8020945
-:0300D60003AD80F7
-:0300D70020D02A0C
-:0300D80013AC0C5A
-:0300D900C002085A
-:0300DA0002603091
-:0300DB0020D20729
-:0300DC006430315C
-:0300DD00B049190E
-:0300DE00C01C0043
-:0300DF000AD2083A
-:0300E0001E60306F
-:0300E100C8020A48
-:0300E2002080314A
-:0300E300030919F5
-:0300E40020721077
-:0300E500031020E5
-:0300E600901C0D5E
-:0300E700C002084C
-:0300E80020803045
-:0300E90003020708
-:0300EA0020C03102
-:0300EB00031919DD
-:0300EC00901C0065
-:0300ED002C62087A
-:0300EE00AF203010
-:0300EF0021020AE1
-:0300F00003B03129
-:0300F100B039190A
-:0300F2002C82104D
-:0300F300AF7021CA
-:0300F400210C04D8
-:0300F50003C2083B
-:0300F600B03030F7
-:0300F7002C820751
-:0300F800AFC03165
-:0300F900210919C1
-:0300FA0003DC0024
-:0300FB00B0320818
-:0300FC002C803025
-:0300FD00B0120A34
-:0300FE00210031AD
-:0300FF0003E919F9
-:03010000B032100A
-:03010100210024B6
-:0301020003FC06F5
-:03010300ADB20892
-:0301040070B030A8
-:03010500B232070C
-:03010600C0E03125
-:0301070020891933
-:03010800030C00E5
-:0301090020720859
-:03010A00031030AF
-:03010B0090120A45
-:03010C00C01031EF
-:03010D002089192D
-:03010E00030210D9
-:03010F0020902518
-:03011000031C14B9
-:0301110090120841
-:03011200C080307A
-:0301130013A2072D
-:03011400C1C03136
-:0301150020891925
-:03011600030C00D7
-:030117002072084B
-:03011800031030A1
-:0301190090120A37
-:03011A00C00031F1
-:03011B002089191F
-:03011C00030210CB
-:03011D0020A026F9
-:03011E00031C209F
-:03011F009010231A
-:030120002106ECC9
-:03012100628AB837
-:03012200B14C00DD
-:03012300C50023F1
-:03012400039C0732
-:0301250079902BA3
-:03012600B25201D1
-:03012700C0008095
-:03012800030E07BC
-:0301290021A08B87
-:03012A0003164376
-:03012B0021BA22D4
-:03012C00032C3F62
-:03012D00C0002BE4
-:03012E0003320693
-:03012F00C700AB5B
-:0301300003921423
-:03013100C50E07F1
-:0301320003902D0A
-:0301330021C0AB3D
-:03013400030603BC
-:0301350021DA22AA
-:03013600031C00A7
-:0301370021E0269E
-:0301380003220D92
-:0301390021F64369
-:03013A00033B5B29
-:03013B00C70C01ED
-:03013C000390AD80
-:03013D00C501E613
-:03013E00039C809F
-:03013F00C40208EF
-:03014000039030F9
-:03014100C70207EB
-:0301420017A031D2
-:03014300C10919D6
-:030144001FA2C631
-:0301450031AB4893
-:03014600308213F1
-:03014700308B55A5
-:030148003082C83A
-:0301490018EB4C64
-:03014A0064321507
-:03014B00B4FB55AD
-:03014C00C002C826
-:03014D00023B5022
-:03014E00A2621694
-:03014F00C00B558D
-:0301500003A2C83F
-:03015100C44B5448
-:03015200039217FE
-:03015300C40B5585
-:03015400039218FB
-:03015500C00208DD
-:0301560002303044
-:03015700A2220CD5
-:0301580000003173
-:0301590000091981
-:03015A00000B385F
-:03015B0000077426
-:03015C00000B6C29
-:03015D00000C0E85
-:03015E0000020894
-:03015F000000306D
-:0301600000020793
-:030161000000316A
-:0301620000091978
-:03016300000C018C
-:030164000002088E
-:0301650000003067
-:030166000002098B
-:0301670000003164
-:0301680000091972
-:03016900000C0186
-:03016A0000002B67
-:03016B00000AC4C3
-:03016C00000C0084
-:03016D0000003956
-:03016E000006D9AF
-:03016F00000B6E14
-:03017000000A2260
+:030042007433080C
+:03004300A4C3084B
+:03004400C04308AE
+:030045001EEE03A9
+:03004600C01208DD
+:030047001EC030A8
+:03004800C02209CA
+:0300490008C031BB
+:03004A0074391CEA
+:03004B00A2D21529
+:03004C0020E03081
+:03004D0008B207EF
+:03004E00703031DE
+:03004F00A5291CC4
+:0300500020B209D2
+:0300510002E6D3F1
+:03005200C08D80DE
+:0300530008E02A98
+:0300540060321700
+:03005500A5903043
+:03005600C0220ABB
+:03005700D40031A1
+:03005800A6A91C3A
+:03005900C017D3FA
+:03005A0003BA796D
+:03005B00C04C5046
+:03005C0008E03980
+:03005D0070379960
+:03005E00A66A5D32
+:03005F0020E2128A
+:03006000348030B9
+:03006100348214D2
+:03006200348031B6
+:03006300348213D1
+:0300640011B032A6
+:03006500A6AC0046
+:03006600C0403364
+:0300670002EC7038
+:0300680021B0398B
+:03006900D40C5064
+:03006A0003B039A7
+:03006B00C00215BB
+:03006C0002C0309F
+:03006D0002A216D6
+:03006E00C400319A
+:03006F000272100A
+:03007000C000329B
+:03007100029C00EE
+:03007200C0103388
+:0300730022CC702C
+:03007400743039AC
+:03007500A7AC50E5
+:03007600C0F0399E
+:0300770002BC4088
+:03007800C4C039C8
+:03007900A8BC0020
+:03007A0008C03982
+:03007B007436D9FF
+:03007C00A81A7B44
+:03007D00C07A2521
+:03007E0002B21AB1
+:03007F00C4AE709C
+:03008000A8B743DB
+:0300810020CA8A08
+:0300820002BC803D
+:03008300C02D0F7E
+:030084000AB0348B
+:0300850032BC0486
+:030086007030389F
+:03008700A8AC140E
+:03008800C802C8E3
+:03008900129A8840
+:03008A00C48C40E3
+:03008B00208027AB
+:03008C00030C0161
+:03008D002076DA00
+:03008E0003112734
+:03008F00901C00C2
+:0300900020B02974
+:03009100030C015C
+:030092002096DADB
+:030093000311292D
+:03009400901209BE
+:03009500210D80BA
+:0300960034802C87
+:03009700348C00A6
+:03009800348D0F95
+:030099003480347C
+:03009A0002BAAEF9
+:03009B00C80C0787
+:03009C0014B15448
+:03009D007E964309
+:03009E00AA1AA0FB
+:03009F0032AAAAD8
+:0300A00012AC009F
+:0300A100C0177411
+:0300A2001ECC80F1
+:0300A300C1202B4E
+:0300A40008CC087D
+:0300A50074310BA8
+:0300A600A6E03998
+:0300A70020AC008A
+:0300A80002B0396A
+:0300A90032BA2543
+:0300AA00C5520933
+:0300AB0016A02C70
+:0300AC0016B21475
+:0300AD0034A03A42
+:0300AE0010B21A73
+:0300AF00031E30FD
+:0300B00021BF105D
+:0300B1000303083E
+:0300B200C42D0456
+:0300B3000396ECC5
+:0300B400C40D0177
+:0300B50003902F86
+:0300B600C00C0F6C
+:0300B7000232080A
+:0300B800A2503023
+:0300B900205207CB
+:0300BA00084031CA
+:0300BB00E3F91C4A
+:0300BC0002D20F5E
+:0300BD0020120806
+:0300BE000A003005
+:0300BF00C0120963
+:0300C000220031EA
+:0300C10074391C73
+:0300C2001ED20942
+:0300C300C05D809D
+:0300C40002B02A5D
+:0300C50020DC003C
+:0300C60008B02B54
+:0300C700603C1C7E
+:0300C800ACA208DF
+:0300C900ACF03068
+:0300CA00C052071A
+:0300CB0002D0312F
+:0300CC00C0091C4C
+:0300CD0002BC0072
+:0300CE00AD420838
+:0300CF00220030DC
+:0300D00064320A8D
+:0300D100B4203127
+:0300D200C0191C36
+:0300D30002B21066
+:0300D40021A6283A
+:0300D500E70AC770
+:0300D600D8060B3E
+:0300D70003AB6F09
+:0300D80020D2092A
+:0300D90013AD80E4
+:0300DA00C0002A39
+:0300DB00026C0CA8
+:0300DC0020D20827
+:0300DD006430305C
+:0300DE00B0520716
+:0300DF00C010311D
+:0300E0000AD91C1E
+:0300E1001E6C0092
+:0300E200C8020849
+:0300E3002080304A
+:0300E40003020A0A
+:0300E50020703157
+:0300E60003191CDF
+:0300E70090121064
+:0300E800C0002035
+:0300E900208C0D5B
+:0300EA0003020806
+:0300EB0020C03002
+:0300EC00031207F5
+:0300ED009010313F
+:0300EE002C691C5E
+:0300EF00AF3C0023
+:0300F000210208E2
+:0300F10003B03029
+:0300F200B0420A0F
+:0300F3002C80312D
+:0300F400AF891CB5
+:0300F500210210D5
+:0300F60003C02123
+:0300F700B04C0406
+:0300F8002C82084F
+:0300F900AFD03055
+:0300FA00210207D9
+:0300FB0003D031FE
+:0300FC00B0491CEC
+:0300FD002C8C0048
+:0300FE00B0220825
+:0300FF00210030AD
+:0301000003E20A0D
+:03010100B04031DA
+:0301020021091CB4
+:0301030003F210F4
+:03010400ADC02467
+:0301050070BC06C5
+:03010600B24208FA
+:03010700C0E03025
+:030108002082074B
+:03010900030031BF
+:03010A0020791C3D
+:03010B00031C00D2
+:03010C0090120846
+:03010D00C01030EF
+:03010E0020820A42
+:03010F00030031B9
+:0301100020991C17
+:03011100031210C6
+:0301120090102525
+:03011300C08C1489
+:0301140013A2082B
+:03011500C1C03036
+:030116002082073D
+:03011700030031B1
+:0301180020791C2F
+:03011900031C00C4
+:03011A0090120838
+:03011B00C00030F1
+:03011C0020820A34
+:03011D00030031AB
+:03011E0020A91CF9
+:03011F00031210B8
+:0301200090102616
+:03012100210C208E
+:03012200628023D5
+:03012300B156ECE6
+:03012400C50AB950
+:03012500039C0038
+:03012600799023AA
+:03012700B26C07B0
+:03012800C0002BE9
+:03012900030201CD
+:03012A0021A08091
+:03012B00031E07A9
+:03012C0021B08B74
+:03012D0003264363
+:03012E00C00A25DF
+:03012F00033C3F4F
+:03013000C7002BDA
+:0301310003920630
+:03013200C500AB5A
+:0301330003921420
+:0301340021CE07D2
+:0301350003002D97
+:0301360021D0AB2A
+:03013700031603A9
+:0301380021EA2594
+:03013900032C0094
+:03013A0021F0268B
+:03013B0003320D7F
+:03013C00C70643B0
+:03013D00039B5EC3
+:03013E00C50C01EC
+:03013F000390AD7D
+:03014000C401E611
+:03014100039C809C
+:03014200C70208E9
+:0301430017A030D2
+:03014400C10207EE
+:030145001FA031C7
+:0301460031A91CC0
+:030147003082C63D
+:03014800308B4BAE
+:03014900308213EE
+:03014A0018EB5857
+:03014B006432C853
+:03014C00B50B4FA1
+:03014D00C00215D8
+:03014E00023B5819
+:03014F00A292C8B1
+:03015000C00B538E
+:0301510003A216F0
+:03015200C44B5843
+:030153000392C84C
+:03015400C40B5782
+:03015500039217FB
+:03015600C00B5883
+:0301570002321859
+:03015800A25208A8
+:0301590000003073
+:03015A0000020C94
+:03015B0000003170
+:03015C0000091C7B
+:03015D00000B3B59
+:03015E0000077423
+:03015F00000B6F23
+:03016000000C0E82
+:0301610000020891
+:030162000000306A
+:0301630000020790
+:0301640000003167
+:0301650000091C72
+:03016600000C0189
+:030167000002088B
+:0301680000003064
+:0301690000020988
+:03016A0000003161
+:03016B0000091C6C
+:03016C00000C0183
+:03016D0000002B64
+:03016E00000AC7BD
+:03016F00000C0081
+:0301700000003953
+:030171000006D9AC
+:03017200000B710E
+:03017300000A255A
:00000001FF