From 7c41810692ed90ece40bf4b2f125f441e2187ac5 Mon Sep 17 00:00:00 2001 From: markster Date: Mon, 22 Oct 2001 23:39:42 +0000 Subject: Version 0.1.0 from FTP git-svn-id: http://svn.digium.com/svn/zaptel/trunk@15 5390a7c7-147a-4af0-8ec9-7488f05a26cb --- tormenta2.rbt | 17482 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++ tormenta2.ucf | 194 + tormenta2.vhd | 588 ++ ztcfg.c | 620 ++ 4 files changed, 18884 insertions(+) create mode 100755 tormenta2.rbt create mode 100755 tormenta2.ucf create mode 100755 tormenta2.vhd create mode 100755 ztcfg.c diff --git a/tormenta2.rbt b/tormenta2.rbt new file mode 100755 index 0000000..8775b39 --- /dev/null +++ b/tormenta2.rbt @@ -0,0 +1,17482 @@ +Xilinx ASCII Bitstream +Created by Bitstream D.22 +Design name: tormenta2.ncd +Architecture: spartan2 +Part: 2s50pq208 +Date: Sun Oct 21 18:29:33 2001 +Bits: 559200 +11111111111111111111111111111111 +10101010100110010101010101100110 +00110000000000001000000000000001 +00000000000000000000000000000111 +00110000000000010110000000000001 +00000000000000000000000000001011 +00110000000000010010000000000001 +00000000100000000011111100101101 +00110000000000001100000000000001 +00000000000000000000000000000000 +00110000000000001000000000000001 +00000000000000000000000000001001 +00110000000000000010000000000001 +00000000000000000000000000000000 +00110000000000001000000000000001 +00000000000000000000000000000001 +00110000000000000100000000000000 +01010000000000000011111000000100 +00000000000100100011000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000100 +10000000000000000000000000000000 +00000000000000000000000000000000 +00000000000100100011000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000010000000000100 +10000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000100000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +10000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000100000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +10000000000000000000000000000000 +00000000000000000000000000000000 +00000000000100100000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000100 +10000000000000000000000000000000 +00000000000000000000000000000000 +00000000000100100000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000100 +10000000000000000000000000000000 +00000000000000000000000000000000 +00000000000100100000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000010000000000100 +00000000000000000000000000000000 +00000000000000000000000000000000 +11111111000100100000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000101000 +00000000000011100000000000000011 +00000000000000001110000000000000 +00101000000000000000100000000000 +00000010000000000000000000000000 +00000000000000000001111111000100 +00000000000000000000000000000000 +00000000000000000000000000000000 +11000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111100000000000011 +01110000000000001110110000000000 +00110111000000000000110111000000 +00000011001100000000000011111100 +00000000001111111100000000001111 +11110000011000111111000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111011000000000010111011000000 +01001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +00101100000000001000101100000010 +00100010110000000000100010110000 +00010010001011000000000010111011 +00000000001011101100000000001011 +10110000000001101110000000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010100011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110000000000000010 +01000000000000001011000000000000 +00100100000000000010101000000000 +00000010000000000000000010110000 +00000000001011001100000000001011 +00110000000000101110001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010110011000000000010 +00001100000000001001001100000000 +00100010110000000000100110110000 +00100000001011000000000010111011 +00000000001011101100000000001011 +10110000000000101111000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000101011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111000000000000011 +01100000000000001111100000000000 +00110110000000000100111100000000 +00001011001000000000000011111000 +00000000000111101100000000001111 +10110000000000101100000000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100001000000011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001110101100000000 +00111111110000000000111010110000 +10000011111111000000000011111011 +00000000001111111100000000001111 +11110000000000111110100001000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111000000000000011 +01100000000000001100100000000000 +00110000000000000000110010000000 +00001011001000000000010011111000 +00000000101100101100000000001111 +10110000000000111101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +00101100000100001000101100000000 +00100010111000100000100010110110 +00000010001011000000000010111011 +00000000001000101100000000001011 +10110000000000101101001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001001001100 +00000000101100110000000000101100 +11000000000010110000000000000010 +01000000000000001001000000000000 +00100000000000000000100000001100 +00000010100000000000000010110000 +00000000001010001100000000001011 +00110000000000101100100000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +01100000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +00001110000010001001011110000000 +00100001111000000011100001111001 +00000010100111100000000010110111 +10000000001010011110000000001011 +01111000000000101101100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +01001000000010000000110000000000 +11110011000000000011110011000000 +00001111001100000000001111001100 +00000000111100110000000000111100 +11000000000011111000000000000011 +01000000000000001101000000000000 +00110000000000000000110000000000 +00000011100000000000000011110000 +00000000001110001100000000001111 +00110000000000111101001000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110001000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000101110111100000000 +10111101110000000000111111110000 +00000011011111000000000011111111 +00000000001101111100000000001111 +11110000000000111101000000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111000000000001011 +00100010000000101100100010000000 +10110010000000000110110010000000 +00001011001000000000000011111000 +10000000101100101100000000001111 +10110000000000111110101000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +00011100000000001000011100000000 +00100001110000000000100000110000 +00000010000111000000000010110011 +00000000001000011100000000001011 +01110000000000101101001000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +11000001000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110000100000000010 +00000000000000001000000010000000 +00100000001000000000100001001000 +00000010000100100000000010110100 +10000000001000011110000000001011 +01111000000000101111000001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +00101100000000001000001100000000 +10100000110000000000100000110000 +00000010000011000000000010110011 +00000000001000001100000000001011 +00110000000000101101001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011111010000000 +00001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +00101000000000001100101000000000 +00100010100000000000110010100000 +00000011001010000000000011111010 +00000000001100101000000000001111 +10100000000000111111101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111100000000000011 +11110000000000001111110000000000 +00111111000000010000111111000000 +01000011110100000000000011111100 +00000000001111100000000000001111 +10000000000000111101001000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000001000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011110001000000001011 +00100100000000001100100100000000 +00111100011000000000110000010000 +00000011001001000000000011001001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000010000000110010000000000 +10111001000000000010111001000000 +00001011100100000000001110100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +00100100000000001000100100000000 +00101110011000000010100010010000 +00000010001001000000000010001001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000001 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +00110100000000001000110100000000 +00101111010001000000100011010010 +00000010001101000000000010001101 +00000000001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001010000100 +00000000101100010000000000101100 +01000000000010110101001010000010 +00010100101000001000010100101000 +00101101010010100000100001010010 +10001010000101001010001010000101 +00101000001011000100000000001011 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000001000000011 +00100000100000001100100000100000 +00111110000010000000110010100010 +00000011001000001000000011001100 +00100000001111100000000000001111 +10000000000000111110111000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +10011000000111011110010000000000 +11111001000000000011111001000000 +00001111100100000000001110100100 +00000000111110010000000000111110 +01000000000011111001101010000011 +11100110101000001111100100101000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110011000000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10011000000001011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +00100100000000001100100100000000 +00110011010000000000110011010000 +00001011001001000000000011001001 +00000000001100100100000000001111 +10010000000000111110011000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111001000100001110000000000000 +10111000000000000010111000000000 +00001011100000000000001111100000 +00000000101110000000000000101110 +00000000000010111000000000000011 +01100000000000001000100000000000 +00100010000000000010100010000000 +00000010001000000000000010001000 +00000000001000100000000000001011 +10000000000000101100111001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011100010000010000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +00000100000000001011000100000000 +00100100010000000100100100010000 +00000010000001000000001010000001 +00000000001000000100000000001011 +00010000000000101100001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +00001011100100000000001010100100 +00000000101110010000000000101110 +01000000000010110001000000000010 +01000100000000001011100100000000 +10100110010000000000100110010000 +00000010001001000000000010001001 +00000000001000100100000000001011 +10010000000000101100011000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001000000000011111001000000 +00001111100100000000001011100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +00100100000000001111100100000000 +00110110010000000000110110010000 +00000011001001000000010011001001 +00000000101100100100000000001111 +10010000000000111110100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001100100100000000 +00111000010000000100111010010000 +00000011110001000000000011111001 +00000000001111100100000000001111 +10010000000000111100101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +00100000000000001100000000000000 +00111010000000000000110000001000 +00000011111000000000000011000000 +00000000001111100000000000001111 +10000000000000111100101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010000000000010111010000000 +00001011101000000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000010 +00101000000000001000101000000000 +00100010100000100010100011100100 +10000010111010000000000010001010 +00000000001011101000000000001011 +10100000000000101100101000000100 +00000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +00001100000000001000001100000000 +00101000110000000000100000110000 +00000010110011000000000010000011 +00000000001011001100000000001011 +00110000000000101100101000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110011001000000010 +00011110010000001000011100010000 +00100001010000000000100001000000 +00000010110011000000000010000111 +00000000001011011100000000001011 +01110000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11110111100000000011110111100000 +00001111011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111110000001011 +00111100100000001100111110100000 +00111000011000000000110001001000 +00000011110111100000001011000111 +10000000001111011110000000001111 +01111000000000111100101000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011011010000011 +11101100001000101111101101100000 +00111110010000000000111110000000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000010 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +00111110000000001100111111000000 +00110011011000000000111111001000 +00000011001111100000000011001111 +10000000001100111110000000001111 +11111000000000111101000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001110011100 +00000000101101110000000000101101 +11000000000010111111000000000011 +01011100000000001000011100000000 +00100001010000000000101101000000 +00000011010111000000000011010111 +00000000001101011100000000001011 +01110000000000101110101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00010000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +00011100000000001000001100000000 +00100001010000000000101100000000 +00000010000111000000001010000011 +00000000001000011100000000001011 +01110000000000101100010001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01100000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001010001100 +00000000101100110000000000101100 +11000000000010110011010000000010 +01001101000000101000001101000000 +10100000010100000000101100001010 +00000010000011010100000010000011 +10110000001000001100000000001011 +00110000000000101101101000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10101000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111111111100000011 +00111111110000001100111111110000 +00110010011010000000111110001100 +10001010001011010100000010001011 +01000000101000101100000001001111 +10110000000100101110101100000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10010000000000001110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011110011000000000011 +11001100000000001111001100000000 +00111100010000100000111110000100 +00000011110011000000000011111011 +00000000001111101100000000001111 +10110000000000111110010000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +10010000000100001111110000000000 +11101111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000001001011 +00101100000000001100111100000000 +00110011011000000000110001000010 +00000011001011000000000011010111 +00000000001100111100000000001111 +11110000000000111110000100000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000001000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +00101100000000001000101100000000 +00100010011000000000100010001000 +00000010101011000000000010101011 +00000000001010101100000000001011 +10110000000000101110000001000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10101011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +00101100000000001000101100000000 +00100010010001000000100010000100 +00000010001011000000000010001011 +00000000001000101100000000001011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +00001100000000001000001100000000 +00100000010000000010100000000000 +00000010000011000000001010000011 +00000010101000001100000000001011 +00110000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +10000000000011010110110000000000 +11101011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111111000000001011 +00111100000000101100111100000000 +10110010010000000000110010000000 +00001011001011000000001011001011 +00000000001100101100000000001111 +10110000000000111110000000000010 +00010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111010000000000111111000000 +00000011111111000000100011101111 +00000000001111111100000000001111 +11110000000101111110100000000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001011111110000000000 +11111111000000000011111111000000 +10001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +01111100000000001101111100000000 +00111111110000000000110111110000 +00100011011111000000000011111111 +00000000001111111100000000001111 +11110000000000111110000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +00111100000000001000101100000000 +00101111110000000000100000110000 +00000010001011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +01001100000000001001001100000000 +00101100110000000000100100010000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101110001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +00101100000000001000101100000000 +00101110110000000000100010010000 +00000010101011000000000010111011 +00000000001011101100000000001011 +10110000000000101111000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000101011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +01101100000000001101101100000000 +00111110110000000000110110100000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101000000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100000000000011011110000000000 +11111111000001000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11101100000000001111111100000000 +00111111110000000000111101100000 +00000011011111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11001100000000001110101100000000 +00111100110000000000111110000000 +00000011011011000000000011111011 +00000000001111101100000000001111 +10110000000000111101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11111100000000001000101100000000 +00101111110000010000101110000000 +00000010001011000000000010111011 +00000000001011101100000000001011 +10110000000000101101001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010111011000000000010 +11001100000000001010001100000000 +00101100110000000000101100110000 +00000010000011000000000010110011 +00000000001011001100000000001011 +00110000000000101100100000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00100000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001000011110000000 +00101101111000000000101101111000 +00000010000111100000000010110111 +10000000001011011110000000001011 +01111000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000010000000110000000000 +11110011000000000011110011000000 +00001111001100000000001111001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11001100000000001110001100000000 +00111100110000000000111100010000 +00001011000011000000000011110011 +00000000001111001100000000001111 +00110000000000111100001000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111010001 +00000011101111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101110000000001101101100000000 +00111110110010000000110010100000 +00000011011011000000000011111011 +00000000001111101100000000001111 +10110000000000111110101000000010 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100110000001000011100000000 +00101111110110100000100000100000 +00000010000111000000000010110111 +00000000001011011100000000001011 +01110000000000101101001000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +11000000000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11001110110000001001011110000000 +00101101111010000010100001001000 +00000010010111100000000010110111 +10000000001011011110000000001011 +01111000000000101111000000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001000001100000000 +00101100110000000000100010000100 +00000010000011000000000010110011 +00000000001011001100000000001011 +00110000000000101101001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011111010000000 +00001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +11101000000000001101101000000000 +00111110100000000000110011100000 +00000011011010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +10000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111101001000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000110010010000 +00100011001001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000100010010000 +00000010001001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101100010000000000100010010000 +00000010001001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100101000001011000100000000 +00101100010010100000100000010010 +10001010000001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000100000001111100000000001 +00111110000010000010110010000010 +00000011001000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000001 +01010000000000000000000000000000 +00000000000000000000000000000000 +00011000000111011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001101010000011 +11100100000000001111100100000000 +00111110011010100000111111011010 +10000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100011000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000001011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000110110010000 +00000011001001000000000011111001 +00000000001111100100000000001111 +10010000000000111100011000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111000000100001110000000000000 +10111000000000000010111000000000 +00001011100000000000001011100000 +00000000101110000000000000101110 +00000000000010111000000000000010 +11100000000000001011100000000000 +00101110000000000000100010000000 +00000011011000000000000010111000 +00000000001011100000000000001011 +10000000000000101100111000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011100010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000100100010000 +00000010000001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000100000010010 +00000010011001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000001000110110010000 +00000011001001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +00101000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111100010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100101000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011001000000000100011111000 +00000000001111100000000000001111 +10000000000000111100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010000000000010111010000000 +00001011101000000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000010 +11101000000000001011101000000000 +00101110100000000000101110100000 +00000010101010000000000010111010 +00000000001011101000000000001011 +10100000000000101100101000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010000011000000000010110011 +00000000001011001100000000001011 +00110000000000101100101000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000100000010 +11011100000000001011011100000000 +00101101110000000000101101110001 +00000010100111000000000010110111 +00000000001011011100000000001011 +01110000000000101110100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11110111100000000011110111100000 +00001111011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111101000000011 +11011110000000001111011110000000 +00111101111111000000111111111010 +10000011000111100000000011110111 +10000000001111011110000000001111 +01111000000000111110101000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110010000000111110110100 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11111110000000001111111110000000 +00111111111000000000110001111000 +00000011001111100000000011111111 +10000000001111111110000000001111 +11111000000000111100000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001111011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001110011100000000 +00101101110000000000110101110000 +00000010100111000000000010110111 +00000000001011011100000000001011 +01110000000000101110101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000100011110000 +00000010000111000000000010110111 +00000000001011011100000000001011 +01110000000000101100000000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00100000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001010001100 +00000000101100110000000000101100 +11000000000010111011000000000010 +11001100000000001010001100000000 +00101110110000000000100100110000 +00000010100011000000000010110011 +00000000001011001100000000001011 +00110000000000101100100000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00101000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001011101100 +00000000111110110000000000111110 +11000000000011111111000000000011 +11101100000000001111101100000000 +00111111110000000000110011110000 +00000011001011000000000011111011 +00000000001111101100000000001111 +10110000000000111100101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110000000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00000001000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +00111100000000001111111100000000 +00111111110000000000110011110000 +00000011001111000000000011111111 +00000000001111111100000000001111 +11110000000000111100000001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000001000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +10101100000000001001101100000000 +00101110110000000000101000110000 +00000011011011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000001000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +00101100000000001011101100000000 +00101110110000000000100010110000 +00000010001011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +10001100000000001001001100000000 +00101100110000000000101010110000 +00010010010011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000011010110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000101110 +11000000000011111011000000000011 +00101100000000001111101100000000 +00111101110000000000110011110000 +00000011001011000000000011111011 +00000000001111101100000000001111 +10110000000000111100000000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001101111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100000000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000110111110000 +00000011001111000000000011111111 +00000000001111111100000000001111 +11110000000000111110000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000100001110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000100 +00101111110000000000101111110000 +00000010001011000000000010111011 +00000000001011101100000000001011 +10110000000000101111000000000110 +00110000000000000000000000000000 +00000000000000000000000000000000 +11001000000001011100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000100100110000 +00000010000011000000000010110011 +00000000001011001100000000001011 +00110000000000101111001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101100110000 +00000010001011000000000010111011 +00000000000011101100000000001011 +10110000000100101111000000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000001000101011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111111100000000 +00111110110000000000110110110000 +00000011001011000000000011111011 +00000000001111101100000000001111 +10110000000000111101000001000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +11100000000000011011110000000000 +11111111000000000011111111000000 +00000111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00001011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111100000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01010100000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011001011000000000011111011 +00000000001111101100000000001111 +10110000000000111101010000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +11001000000001010010110000010000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011111100000000 +00101111110000010000101111110000 +00000011011011000000000010111011 +00000000001011101100000000001011 +10110000000000101111001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +11100000000001010100110000010000 +10110011000000000010110011000000 +00001011001100000000001001001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010000011000001000010110011 +00000000001011001100000010001011 +00110000000000101111000100000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10110000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000011011011110000000 +00101101111000000000101101111000 +00000010010111100000000010110111 +10000000001011011110000000001011 +01111000000000101110111000000100 +00010000000000000000000000000000 +00000000000000000000000000000000 +00001000000010000000110000000000 +11110011000000000011110011000000 +00001111001100000000001111001100 +00000000111100110000000000101100 +11000000000011110011000000000011 +11001100000000001111001100000000 +00111100110000000000111110110000 +00000011000011000000000011110011 +00000000001111001100000000001111 +00110000000000111100001100000010 +00010000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110100 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110010000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +11001000100100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110100100000101101110000 +00000010110111000000000010110111 +00000100001011011100000000001011 +01110000000000101111001000100110 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111010000000101101111011 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101101000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011111010000000 +00001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +11101000000000001111101000000000 +00111110100000000000111110100000 +00000011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000100 +00111100000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111101001000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000110010010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000100010010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000100010010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100111000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100101000 +00101100010010100010100000010010 +10000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100101000000101 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100010100000 +00111110001010000000110010001010 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +00011000100111011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110011010 +10000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100011000100100 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000001011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100110100000 +00111110010000000000111110010000 +00000011001001000000000011111001 +00000000001111100100000000001111 +10010000000000111100011000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111000000100001110000000000000 +10111000000000000010111000000000 +00001011100000000000001111100000 +00000000111010000000000000101110 +00000000000010111000000000000010 +11100000000000001011100001000100 +00101110000000000000101110000000 +00000011011000000000000010111000 +00000000001011100000000000001011 +10000000000000101100111000000110 +00110000000000000000000000000000 +00000000000000000000000000000000 +01001000000001011100010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100010000 +00101100010000000000101100010000 +00000010000001000000000010110001 +00000000001011000100000000001011 +00010000000000101101001000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000010000 +10111001000000000010111001000000 +00001011100100000000001010100100 +00000000101010010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101100010000 +00000010011001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001000000000011111001000000 +00001111100100000000001011100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011001001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +01101000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111010010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111101001000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000110010000000 +00000011001000000000000011111000 +00000000001111100000000000001111 +10000000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010000000000010111010000000 +00001011101000000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000011 +10101000000000001011101000000000 +00101110100000000000100010100000 +00000010101010000000000010111010 +00000000001001101000000000001011 +10100000000000101100101000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000010100000110000 +00000010000011000000000010110011 +00000000001011001100000000001011 +00110000000000101100101000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +10011100000000001011011100000000 +00101100111000010000100001110010 +00000010100111000000000010110111 +00000000001001011100000000001011 +01110000000000101110000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11110111100000000010110111100000 +00001111011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111100000000011 +11011110000000001111011110000000 +00111101111000000000110011111100 +00000011000111100000000011110111 +10000000001111011110000000001111 +01111000000000111110001000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +10101100000000001111101100000000 +00111110110000000000111110110110 +00000011111011000000000011111011 +00000000001101101100000000001111 +10110000000000111100001000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11111110000000001111111110000000 +00110011111000000000110011111100 +00000011001111100000000011111111 +10000000001111111110000000001111 +11111000000000111100000000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00110101110000000000110101110000 +00000010000111000000000010110111 +00000000001011011100000000001011 +01110000000000101110101000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00100001110001000000100001110000 +00000010000111000000000010110111 +00000000001011011100000000001011 +01110000000000101100000000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00100000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00100100110000000000100100110000 +00000010000011000000000010110011 +00000000001011001100000000001011 +00110000000000101100100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00110010110000000000110011110000 +00000011001011000000000011111011 +00000000001111101100000000001111 +10110000000000111100101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111100110000000000111100110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110000000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00000001000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111101100000000 +00111110110000000000110010110000 +00000011001111000000000011111111 +00000000001111111100000000001111 +11110000000000111100100001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101010110000 +00000010001011000000000010111011 +00000000001011101100000000001011 +10110000000000101110100000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000100010110000 +00000010001011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101000110000 +00000010000011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001010000101 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000011010110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000110011110000 +00000011001011000000000011111011 +00000000001111101100000000001111 +10110000000000111100000010000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000001000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100000100100 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000110111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101100110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000001110000000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101000110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101110001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000101011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000110110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100000000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100001000000011011110000000000 +11111111000000000011111111000000 +00000111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100001000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011101011000000000011111011 +00000000001111101100000000001111 +10110000000000110101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010001011000000000010111011 +00000000001011101100000000001011 +10110000000000101101001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000100100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000100100110000 +00000010100011000000000010110011 +00000000001011001100000000001011 +00110000000000101100100000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +01100000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101101111000 +00000010000111100000000010110111 +10000000001011011110000000001011 +01111000000000101101100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +01001000000010000000110000000000 +11110011000000000011110011000000 +00001111001100000000001111001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11001100000000001111001100000000 +00111100110000000000111100110000 +00000011100011000000000011110011 +00000000001111001100000000001111 +00110000000000111101001000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110101000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101101001000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +11000001000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101111000001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000010000101110110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011111010000000 +00001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +11101000000000001111101000000000 +00111110100000000000111110100000 +00000011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111101001000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011101001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010001001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010101001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000010000001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011101000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +10011000000111011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010010 +10000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110011000000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10011000000001011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110011000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111001000100001110000000000000 +10111000000000000010111000000000 +00001011100000000000001110100000 +00000000101110000000000000101110 +00000000000010111000000000000010 +11100000000000001011100000000000 +00101110000000000000101110000000 +00000010111000000000000010111000 +00000000001011100000000000001011 +10000000000000101100111001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011100010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +00001011100100000000001010100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001110100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000001000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000010001010010100000000000 +10111010000000000010111010000000 +00001011101000000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000010 +11101000000000001011101000000000 +00101110100000000000101110100000 +00000010111010000000000010111010 +00000000001011101000000000001011 +10100000000100101100101000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10100011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100101000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11100111100000000011110111100000 +00001111011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111100000000011 +11011110000000001111011110000000 +00111101111000000000111101111010 +00000011110111100000000011110111 +10000000001111011110000000001111 +01111000000000111100101000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110100 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11111110000000001111111110000000 +00111111111000000000111111111100 +10000011111111100000000011111111 +10000000001111111110000000001111 +11111000000000111101000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000111101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101110101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00010000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100010000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01101000000101001100110000010000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101000110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101100000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10111000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000101110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111111110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110101100000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110010000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110010100100100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000001000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000001010000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000100 +10111011000000100010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +10000000000011010110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111111110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110000000000010 +00010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000001000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100000000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001100110100101000 +00110011110000000000111111110000 +00000011011111000000000011111111 +00000000001111111100000000001111 +11110000000000111111000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000100001110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11001100100000001010100101000000 +00100010110000000000001110110000 +00000010001011000000000010111011 +00000000001011101100000000001011 +10110000000000101111000000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +11001000000001011100110000000000 +10110011000000010010110011000000 +00000011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100001000001000000100000000 +00100000110000000000101100110000 +00000010010011000000000010110011 +00000000001011001100000000001011 +00110000000000101111001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001010100100000000 +00100010110000010000101110111000 +00000010001011000000000010111011 +00000000001011101100000000001011 +10110000000000101111000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000001000101011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001100101100000000 +10110010110000000000111110100000 +00000011011011000000000011111011 +00000000001111101100000000001111 +10110000000000111100000001000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +11100001000000011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111001100100000 +00111111110000000000111110100000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111110001000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01010100000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001100101100000000 +00110010110000000000111100101000 +00000011101011000000000011111011 +00000000001111101100000000001111 +10110000000000111101010000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +11001000000001010010110000000100 +10111011000000000010111011000000 +00011011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000100010 +11101111011000001101101100000000 +00110110110000000000101110100000 +00000010001011000000000010111011 +00000000001011101100000000001011 +10110000000000101111001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +11100000000001010100110000000100 +10110011000000010010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11101110000000001000000100000000 +00100000110000000000101100010000 +00000010100011000000000010110011 +00000000001011001100000000001011 +00110000000000101111000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +11110000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001001010110000000 +00100101111000000000101101011000 +00000010000111100000000010110111 +10000000001011011110000000001011 +01111000000000101111110000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01001000000010000000110000000000 +11110011000000000011110011000000 +00001011001100000000001011001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11001100000000001100001100000000 +00110000110000001001111100010000 +00000011100011000000000011110011 +00000000001111001100000000001111 +00110000000000111101001000000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111110100000000 +00111111110000000000111111010000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001110101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111100100000000 +00111110110000000000111100001000 +00000011001011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +11001000100100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101000000 +00000011010111000000000010110111 +00000000001011011100000000001011 +01110000000000101111001000100000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000001000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101111001000 +00000010000111100000000010110111 +10000000001011011110000000001011 +01111000000000101100100001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100010000 +00101100110000000000101100000000 +00000010010011000000000010110011 +00000000001011001100000000001011 +00110000000000101101101000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011111010000000 +00001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +11101000000000001111101010000000 +00111110100000000000111111100000 +00000011001010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110001000 +00000011111000000000000011111000 +00000000001111100000000100001111 +10000000000000111101001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100110000000001100100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100111000000101000100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110100000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11000101010000001000100100000000 +00101110010000000000101110011000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000100101100111000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001000000100100000 +00101100010000000000101100010010 +10000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100001010000001100100000000000 +00111110000000000000111110000010 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000111 +01010000000000000000000000000000 +00000000000000000000000000000000 +10011000100111011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111110100010000 +00111110010000000000111111010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110011000100110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10011000000001011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11110100000010001111100100000000 +00111110010000000000111111010000 +00000010111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110111000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111001000100001110000000000000 +10111000000000000010111000000000 +00001011100000000000001111100000 +00000000111110000000000000101110 +00000000000010111000000000000010 +11100000000010001011100000000000 +00101110000000000000101110000000 +00000010111000000000000010111000 +00000000001011100000000000001011 +10000000000000101100111001000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +01001000000001011100010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000110110001000000000010110001 +00000000001011000100000000001011 +00010000000000101101001000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +00001011100100000000001010100100 +00000000101010010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000010000 +11111001000000000011111001000000 +00001111100100000000001011100100 +00000000101110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100110010000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01101000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100110000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111101001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000001000001100100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010000000000010111010000000 +00001011101000000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000010 +11111000100000101000101000000000 +00101110100000000000101110100010 +00000010111010000000000010111010 +00000000001011101000000000001011 +10100000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001101000000001000001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011010000000001000011100000000 +00101101110000000000101101010000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11110111100000000011110111100000 +00001111011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111100000000011 +11110010000000001100011110000000 +00111101111000000000111101011000 +00000011110111100000000011110111 +10000000001111011110000000001111 +01111000000000111100101000000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11100000000000001111101100000000 +00111110110000000000111110010000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11110110000000001111111110000000 +00111111111000000000111101011000 +00000011001111100000000011111111 +10000000001111111110000000001111 +11111000000000111101100000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001111011100 +00000000101101110000000000101101 +11000000000011110111000000000010 +11011000000000001011011100000000 +00101101110000000101101101010000 +00000011010111000000000010110111 +00000000001011011100000000001011 +01110000000000101110101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00010000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11010100000000001011011100000000 +00101101110000000000101001010000 +00000010000111000000000010110111 +00000000001011011100000000001011 +01110000000000101100011000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01100000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001010001100 +00000000101100110000000000101100 +11000000000010100011000000000010 +11001100000000001011001100000000 +00101100110000000000101100010000 +00000010010011000000000010110011 +00000000001011001100000000001011 +00110000000000101101000010000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10111000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001011101100 +00000000111110110000000000111110 +11000000000010111011000000000011 +11101100000000001111101110000000 +00111110110000000000111110010000 +00000011001011000000000011111011 +00000000001111101100000000001111 +10110000000000111110111000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000100000000001110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101000000000001111101100010000 +00111110110000000000111110010000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110100000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11110100000000001111111100000000 +00111111110000000000111111011000 +00000011001111000000000011111111 +00000000001111111100000000001111 +11110000000000111110000000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11100011000000001011101100000000 +00101110110000000000101100010000 +00000010101011000000000010111011 +00000000001011101100000000001011 +10110000001000101110000000100000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101101010000001011101100000000 +00101110110000000000101110010010 +00000010001011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001000000000001011001100000000 +00101100110000000000101110010000 +00000010100011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +10000000000011010110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11100100000000001111101100000000 +00111110110000000000111110010000 +00000011001011000000000011111011 +00000000001111101100000000001111 +10110000000000111110000010000110 +00010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11110000000000001111111100000100 +00111111110000000000111111010000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100000100110 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000001011111110000000100 +11111111000000010011111111000000 +10001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000100001110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111000000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +11001000000001011100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101111001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000010000101111000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000001000101011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100000001000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +11100001000000011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111110110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111110001000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000001000111010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101010000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +11011000000000010010110000000000 +10111011000000000010111011000000 +00001111101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101111110000000000100010110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +11100000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101111000100000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +11111000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000100101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101111110000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01001000000010000000110000000000 +11110011000000000011110011000000 +00001111001100000000001111001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11001100000000001111001100000000 +00111100110000000000111100110000 +00000011110011000000000011110011 +00000000001111001100000000001111 +00110000000000111101001100000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00001110111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111011110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +11001000100100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110010000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101111001000100000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000001000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111001000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100100001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101101000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011111010000000 +00001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +11101000000000001111101000000000 +00111110100000000000111110100000 +00000011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000010001111101001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101010010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010010100000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111010000010000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000111 +01010000000000000000000000000000 +00000000000000000000000000000000 +10011000100111011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000001000111110111000100110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10011000000001011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110111000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111001000000001110000000000000 +10111000000000000010111000000000 +00001011100000000000001011100000 +00000000111010000000000000101110 +00000000000010111000000000000010 +11100000000000001011100000000000 +00101110000000000000101110000000 +00000010111000000000000010111000 +00000000001011100000000000001011 +10000000000000101100011001000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +01001000000001011100010000000000 +10110001000000000010110001000000 +00000011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101101001000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101010010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01101000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111010010000000000111110 +01000000000011111001000000000011 +11100100000000001101100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111101101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000001000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010000000010010111010000000 +00001011101000000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000011 +10101000000000001011101000000000 +00101110100000000000101110100000 +00000010111010000000000010111010 +00000000001011101000000000001011 +10100000000000101100001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000100010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +10011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000000000001111000000000 +11110111100000000010110111100000 +00001111011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111100000000011 +11011110000000001111011110000000 +00111101111000000000111101111000 +00000011110111100000000011110111 +10000000001111011110000000001111 +01111000000000111100101000000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +10101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11111110000000001111111110000000 +00111111111000000000111111111000 +00000011111111100000000011111111 +10000000001111111110000000001111 +11111000000000111101100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001110011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101110101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00010000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010100111000000000010110111 +00000000001011011100000000001011 +01110000000000101100010010000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01100000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001010001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000100101101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10111000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110111100000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000001000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110100000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001111110000000000 +11101111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110010100000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000100000001000110110000000000 +10111011000000000010111011000000 +00011011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001111101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000001000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10101011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +10000000000011010110110000000000 +11101011000000000011111011000000 +00001011101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110000000000110 +00010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001110111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100010000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100010000001101110000000000 +00110001110000000000110011110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111000000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000110001110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001000100100010000 +00100010110000010000100010110000 +00000010111011000000000010111011 +00000000001011101100000100001011 +10110000000000101111000000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100010000001001000000000000 +00101000110000000010100000110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101111001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000001011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11001100000000001000100100000000 +00101010110000000001100010110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000100101111000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000001000101011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001101101010100000 +10111010110000000000110010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000100111100000001000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100001000000011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11101100000000001111001110010000 +00110111110001000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111100001000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100100000001100101000000000 +00110010110000100000110010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101010000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11111101000000001101101100000000 +00110100110000100000110110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111001000010000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00000000000001000100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001101000000001000001000000000 +00000000111000000000100100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101111001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01100000000100000101111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001001010010000000 +00100101111000000000100101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101111110000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01001000000010000100110000000000 +11110011000000000011110011000000 +00001011001100000000001011001100 +00000000101100110000000000111100 +11000000000011110011000000000011 +11001100001000001100000100000000 +00110000110000000000110100110000 +00000011110011000000000011110011 +00000000001111001100000000001111 +00110000000000111101001000000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000101011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100001000001111110100010000 +00111111110001000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011110110000000000 +11111011000000000011111011000000 +00001110101100000000001110101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101010000010 +00110010110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000100110011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000011110111000000000010 +11011100100001001011000100000000 +00101001110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101111001000100000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100001000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011010000000 +00100001111000000000001101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100100001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01101000000001001100110000000000 +10110011000000000010110011000000 +00001011001100000000001001001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100010000 +00101000010000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101101000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011110100000000000 +11111010000000000011111010000000 +00001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000001000011 +11101000000100001111111011000000 +00110010100010000000111110100000 +00000011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000011010000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011101000000000000011 +11100001000000000011100000100000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111101001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001100100100000101 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000001101001000000000010 +11100111000000001000100100000000 +00101110011000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00111000000001010010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000000111001000000000010 +11000100101000001000100100000000 +00101110011000000000101010010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00101000000101000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010100001000000000010 +11000100100000101000000100100000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +10111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100001010000001100100000000000 +00111110000000000000111010000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000111 +01010000000000000000000000000000 +00000000000000000000000000000000 +10011000100101011110010000000000 +10111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100010000001111111100010000 +00111111010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110111000100110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10011000000001011110010000010000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11110100000000001100100100000010 +00111111010000000000110010010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110111000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111001000110001110000000000000 +10111000000000000010111000000000 +00001011100000000000001011100000 +00000000111110000000000000101110 +00000001000010111000000000000010 +11100000000011001000100000000000 +00101110000000000000110110000000 +00000010111000000000000010111000 +00000000001011100000000000001011 +10000000000000101100011001000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000101010000100000000 +00101100010000000000100000010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101101001000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00011000000001001010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101010010000000000101110 +01000000000010111001000000000010 +11101100000000001010100100100000 +00101110010001000000100110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000101110010000000000111110 +01000000000011111001000000010011 +11100100000000001110100111000000 +00111110010000000000110010010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100001000001101100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111101101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001010000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +10100000000000001110100001000000 +00111110001000000000110010000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010000000000010111010000000 +00001011101000000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000010 +11111000100000000000101000000000 +00101101101100000000100010100000 +00000010111010000000000010111010 +00000000001011101000000000001011 +10100000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +10101111000000001010001100000000 +00101100110000000001100100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10000000000100010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001000011100000000 +00101101110100000000100101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +10001000000010000001111000000000 +11110111100000000011110111100000 +00001111011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111100000000011 +10110110000000001110011110000001 +00111101111000000010110101111000 +00000011110111100000000011110111 +10000000001111011110000000001111 +01111000000000111100101000000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111100110000000000111010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000101001011111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11111010000000001111111110000000 +00111101111000000000110011111000 +00000011111111100000000011111111 +10000000001111111110000000001111 +11111000000000111101100000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000100001001110000000000 +10110111000000000010110111000000 +00001011011100000000001111011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011000010000001011011100000000 +00101101110000000000110101110000 +00000010110111000000000010110111 +00000000001110011100000000001011 +01110000000000101110101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00010000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011000000000001011011100000000 +00101101010000000000100001110000 +00000010000111000000000010110111 +00000000001011011100000000001011 +01110000000000101100011000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01100000000101001000110000000000 +10110011000000000010110011000000 +00001011001100000000001010001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001000000000001011001111000000 +00101100111000000000100100110000 +00000010110011000000000010110011 +00000000001010001100000000001011 +00110000000000101101000010000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001011101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11100000000000001111101110000000 +00111100111000000000110010110000 +00000011011011000000000011111011 +00000000001111101100000000001111 +10110000000000111110111110000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10010000000000001110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11100101000000001111001100011001 +00001110110001000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110100000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +10100000000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11110110010000001111111100000000 +00110011111000000000110011110000 +00000011111111000000000011111111 +00000000001111111100000001000111 +11110000000100111110000100100100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10110001000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101111000011001011101100000001 +00100010111101000000101010110000 +00000010111011000000000010111011 +00000000001011101100000001001011 +10110000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11100000000000001011101100000000 +00100010110100000000100010110000 +00000010101011000000000010111011 +00000000001011101100000000011011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000101000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11000000000100001011001100000000 +10100000100000000000101000110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10000000000011010110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11100100000000001111101100000001 +00110010110000000010100010110000 +00000011101011000000000011111011 +00000000001111101100000000001111 +10110000000100111110000000100110 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11110000000000001111111100000001 +00111111100000000000111111110000 +00000011111111000000000011111111 +00000010001111111100000000001111 +11110000000000111110100000000111 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000100001110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111000000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +11001000000001011100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010100011000000000010110011 +00000000001011001100000000001011 +00110000000000101111001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00010001000101011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001011101100000000001111 +10110000000000111100000101000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +11100001000000011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111100001000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01010000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +11001000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +11100000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010010011000000000010110011 +00000000001011001100000000001011 +00110000000000101111000100000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +11110000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000100101111110000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01001000000010000000110000000000 +11110011000000000011110011000000 +00001111001100000000001111001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11001100000000001111001100000000 +00111100110000000000111100110000 +00000011110011000000000011110011 +00000000001111001100000000001111 +00110000000000111101001100000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +11001000100100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101111001000100000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000001000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001010011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100100001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101101000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000010111010000000 +00001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +11101000000000001111101000000000 +00111110100000000000111110100000 +00000011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111101001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000001100101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000100 +11111000000000100011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000111 +01010000000000000000000000000000 +00000000000000000000000000000000 +10011000100111011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110111000100110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10011000000001011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110111000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111001000100001110000000000000 +10111000000000000010111000000000 +00001011100000000000001110100000 +00000000111010000000000000101110 +00000000000010111000000000000010 +11100000000000001011100000000000 +00101110000000000000101110000000 +00000010111000000000000010111000 +00000000001011100000000000001011 +10000000000000101100011001000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +01001000000001011100010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101101001000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +00001011100100000000001010100100 +00000000101010010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001011100100000000001111 +10010000000000111110100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01101000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001110100100 +00000000111010010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111101101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000010000011100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010000000000010111010000000 +00001011101000000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000011 +10101000000000001011101000000000 +00101110100000000000101110100000 +00000010111010000000000010111010 +00000000001011101000000000001011 +10100000000000101100001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000100 +10100011000000100010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +10011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11100111100000000010110111100000 +00001111011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111100000000011 +11011110000000001111011110000000 +00111101111000000000111101111000 +00000011110111100000000011110111 +10000000001111011110000000001111 +01111000000000111100101000000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +10101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11111110000000001111111110000000 +00111111111000000000111111111000 +00000011111111100000000011111111 +10000000001111111110000000001111 +11111000000000111101100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001111011100 +00000000101101110000000000101101 +11000000000011110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101110101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00010000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101001110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100011010000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01100000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001010001100 +00000000101100110000000000101100 +11000000000010100011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000010000101101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10111000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001011101100 +00000000111110110000000000111110 +11000000000010111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000101110101100000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110110000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110000100000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10010000000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000001000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000010000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +10000000000011010110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000010001111110000000000110 +00010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100010000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001100110000000000 +00110101100100000000110011110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110000000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11001100001000001010100000000000 +00100010100000010000101010110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111000000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100100000001000100000000010 +00100110100010000000100000110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101111001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001010100000000000 +00100010000100000000101010110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000101011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001100101111010000 +00110110110100000000110010110000 +00100011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101000001000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100000000000011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111110000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001101101100000000001111101100 +00000000110110110000000000111110 +11000000000011111011000000000011 +11101100100000001100100100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101010000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000010010110000000000 +10111011000000000010111011000000 +00001111101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101110000000001101000100000010 +00101100000111000000100010110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11101111000000001000000000000000 +00101100110100010000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101111000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00100000000000010001111000000000 +10110111100000000010110111100000 +00001010011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001001010010000000 +00101111111000000000100001111000 +00000010110111100000000010110111 +10000000011011011110000000001011 +01111000000000101110110000000100 +00010000000000000000000000000000 +00000000000000000000000000000000 +00001000000010000000110000000000 +11110011000000000011110011000000 +00001011001100000000001111001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11001100001000001100001100010000 +00111100110000000000111100110000 +00000011110011000000000011110011 +00000000001111001100000000001111 +00110000000000111100001000000010 +00010000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111010001000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101000000000 +00111110110000000000110010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000001001011011000000001 +00101111110000000000100001110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101111001000100110 +01000000000000000000000000000000 +00000000000000000000000000000000 +11000000000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000010000000001111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001111000000 +00101100000000000000100000110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101101000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011111010000000 +00001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +11101000000010001111111011100000 +00111111100100000010110010100000 +00100011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000010001111100000100000 +00111110001100000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000001000111101001000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001100100100000000 +00111110010100000000110010010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100111000010001000100100000010 +00101100011110000000100010010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11000100101000001000101100000001 +00101110010000000010100010010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100111000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000101000000100000000 +00101110010000000000100000010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100101000000101 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100001010000001100100000000000 +00111110000101010000110010000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +00011000000111011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111110100000000 +00111111010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100011000100100 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000001011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11110100000000001111100100000000 +00111111010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100011000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111000000000001110000000000000 +10111000000000000010111000000000 +00001011100000000000001111100000 +00000000101110000000000000101110 +00000000000010111000000000000010 +11100000000011001011100000000000 +00101100000000000000101110000000 +00000010111000000000000010111000 +00000000001011100000000000001011 +10000000000000101100111000000110 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011100010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00100010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101101001000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +00001011100100000000001010100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000100 +00101110010101000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001000000000011111001000000 +00001111100100000000001011100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100110100001 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +00101000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100001010001111100101000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111101001000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001100100000000000 +00111110000010000000110010000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010000000000010111010000000 +00001011101000000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000010 +11111000000000001000101000000000 +00101111101000000000100010100000 +00000010111010000000000010111010 +00000000001011101000000000001011 +10100000000000101100101000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001111000000001000001100000000 +00101100110100000000100000110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100101000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000100010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011011000000001000011100000100 +00101101111100000000100001110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101110000000000100 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000000000001111000000000 +11110111100000000011110111100000 +00001111011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111100000000011 +11110110000000101100011110000000 +00111101111000000010110001111000 +00000011110111100000000011110111 +10000000001111011110000000001111 +01111000000000111110001000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11110010000000001111111110000000 +00110011101000000000110011111000 +00000011111111100000000011111111 +10000000001111111110000000001111 +11111000000000111100000000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000111101110000000000101101 +11000000000010110111000000000010 +11010000010000001011011100010000 +00110101110100000000101001110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101110101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11010000000000001011111100000000 +00100011000000000000100001110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100000000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00100000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101000110000000000101100 +11000000000010110011000000000010 +11000000000000001011001100000000 +00100110101000000000101000110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100100000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000101110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00110010010100000000110010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100101100000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101101000000001111101100000001 +00111110000001100000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110000000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00000001000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111110000000001111111100000000 +00111111010001000000110011110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111100100001000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000001000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000100110110000000000101110 +11000000000010111011000000000010 +11101101000000001011101100000000 +00101110010100000000100010110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110100000010000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11100000011000001011101100000000 +00101110100000000000100010110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11000100000000001011001100000000 +00101100000000000010100000110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000011010110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101000000000001111101100000000 +00111110000000000000110010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100000000100001 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000110111110000000000111111 +11000000000011111111000000000011 +11110000000000001111011100000000 +00111101000000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00110111110000000000110111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111011000000000010111011000000 +00001011101100000100001011101100 +00000000001110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000100110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000001110000000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000100100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101110001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000100110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000010000101111000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000101011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00010000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000110110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100000000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100000000000011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100001000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101101001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001001001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100100000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00100000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000001000101101100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000010000000110000000000 +11110011000000000011110011000000 +00001111001100000000001111001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11001100000000001111001100000000 +00111100110000000000111100110000 +00000011110011000000000011110011 +00000000001111001100000000001111 +00110000000000011101001000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110101000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101101001000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +11000000000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101111000001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011111010000000 +00001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +11101000000000001111101000000000 +00111110100000000000111110100000 +00000011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111101001000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +00011000000111011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110011000000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000001011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110011000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111000000100001110000000000000 +10111000000000000010111000000000 +00001011100000000000001110100000 +00000000101110000000000000101110 +00000000000010111000000000000010 +11100000000000001011100000000000 +00101110000000000000101110000000 +00000010111000000000000010111000 +00000000001011100000000000001011 +10000000000000101100111001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011100010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +00001011100100000000001010100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001110100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010000000000010111010000000 +00001011101000000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000010 +11101000000000001011101000000000 +00101110100000000000101110100000 +00000010111010000000000010111010 +00000000001011101000000000001011 +10100000000000101100101000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10100011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100101000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11100111100000000011110111100000 +00001111011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111100000000011 +11011110000000001111011110000000 +00111101111000000000111101111000 +00000011110111100000000011110111 +10000000001111011110000000001111 +01111000000000111100101000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11111110000000001111111110000000 +00111111111000000000111111111000 +00000011111111100000000011111111 +10000000001111111110000000001111 +11111000000000111101000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101110101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001010011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100011010000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00100000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000010000101101100000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00101000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001110101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00101110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000001111110101100000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110010000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00000001000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111011110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110000100000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000001000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000001000101110000001000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000001100101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000011010110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110000000000010 +00010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100000000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000110011110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110000000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000010 +00101111110000001000100010110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111000000000010 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100010000010000100000110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101111001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110010000000000100010110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111000000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000101011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111101010000000010110010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100000001000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100000000000011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111010000000000111111110000 +00000010111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111100001000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110001000000110010110000 +10000011001011000000000011111011 +00000000001111101100000000001111 +10110000000000111101000100000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000010 +00101110111000000010100010111000 +00000010001011000000000010111011 +00000000001011101100000000001011 +10110000000000101111011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100111000000000100100111000 +00001010000011000000000010110011 +00000000001011001100000000001011 +00110000000000101111000100000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00100000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101100111101000000100101111000 +10000010000111100000000010110111 +10000000001011011110000000001011 +01111000000000101110110000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000010000000110000000000 +11110011000000000011110011000000 +00001111001100000000001111001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11001100000000001111001100000000 +00111100010000000000110100110000 +00000011000011000000000011110011 +00000000001111001100000000001111 +00110000000000111100001100000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +01111111011000000000111001110001 +00000011111111000000000011111111 +00000000001111111100010000001111 +11110001000000111101000000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110010010000000110010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000001001011011100000001 +00101101010000101000000001110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101111001000100100 +01100000000000000000000000000000 +00000000000000000000000000000000 +11000000000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101100111000010000001001111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100100001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000100 +00101100111000010010101000110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101101000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011111010000000 +00001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +11101000000000001111101000000000 +00111110101010000000111010100000 +00000011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000100 +00000000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000001001111100000000000 +00111110000000000000100110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000100111101001000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111100010010000000110010011000 +00100011111001000000000011111001 +00000000001111100100000001001111 +10010000000100111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000100010011000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000100010010010 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010010000000100000010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000101 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000010110010000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +00011000000111011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111111010001000000111110010000 +00000011111001000000000011111001 +00000010001111100100000000001111 +10010000000000111100111000100100 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000001011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000110001111100100000000 +00111111011000000000110011010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100111000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111000000100001110000000000000 +10111000000000000010111000000000 +00001011100000000000001011100000 +00000000111010000000000000101110 +00000000000010111000000000100010 +11100000000011001011100000000000 +00101110000100000000100010000000 +00000010111000000000000010111000 +00000000001011100000000000001011 +10000000000000101100011001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011100010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000001 +00101100010100000100100000010000 +00100010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101101001000000001 +00100000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101010010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010001000000100010010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000110001111100100000100 +00111110011000000010110010010000 +00000010111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111010010000000000111110 +01000000000011111001000000000011 +11100100000001001111100100000000 +00111110011000000000111110010000 +10000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111101101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111100001000000010110010000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010000000000010111010000000 +00001011101000000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000011 +10101000000001001011101000000000 +00101111100110000000100011101010 +00000010111010000000000010111010 +00000000001011101000000000001011 +10100000000000101100001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100011000000000100000100000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +10011100000000001011011100000000 +00101101100000000000100001110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11110111100000000010110111100000 +00001111011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111100000000011 +11011110000000001111011110000000 +00111100111000000000110001101000 +00000011110111100000000011110111 +10000000001111011110000000001111 +01111000000000111100101000000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +10101100000000001111101100000000 +00111110100000000000111110110000 +01000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11111110000000001111111110000000 +00111111001000000000110011111000 +00000011111111100000000011111111 +10000000001111111110000000001111 +11111000000000111101100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001111011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100010000001111011100000000 +00101101000000000000010101010100 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101110101000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101000000000000100001110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100000000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00100000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001010001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000100001010001100000000 +00101100000000010000100000110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101000100000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001011101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001011101100000000 +00111110010000000000100010100000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100101000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111100100000000000111110100100 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110100000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00000001000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011101111000000000011 +11111100000000001111111100000000 +00111110111000000000110011100000 +00000011001111000000000011111111 +00000000001111111100000000001111 +11110000000000111100000001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000001000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110100000000000101000100000 +00000010101011000000000010111011 +00000000001001101100000000001011 +10110000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +01101110000001000000100010110000 +00000010001011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +01001100000000001001001100000000 +00101100100000000000100000010000 +00000010100011000000000010110011 +00000000001001001100000000001011 +00110000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000011010110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011101011000000000011 +11101100000000001111101100000000 +00111110000000000000110010110000 +00000011001011000000000011111011 +00000000001111101100000000001111 +10110000000000111100000010000110 +00010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111100000000000111111110000 +00000011111111000000000011111111 +00000000001101111100000000001111 +11110000000000111110100010000010 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000111011000000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010100011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +10001100000000001011001100000000 +00101000110000000000101000110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101111001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000010000101111000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000101011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100000001000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100000000000011011110000000000 +11111111000000000011111111000000 +00001111111100000000000111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111011100001000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101010100000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101111100000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00100000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101111110000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000010000000110000000000 +11110011000000000011110011000000 +00001111001100000000001111001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11001100000000001111001100000000 +00111100110000000000111100110000 +00000011110011000000000011110011 +00000000001111001100000000001111 +00110000010000111101101000000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00111101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000010000101111001000100000 +01100000000000000000000000000000 +00000000000000000000000000000000 +11000000000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100100001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101000110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011111010000000 +00001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +11101000000000001111101000000000 +00101110100000000000111110100000 +00000011111010000000000011111010 +00000000001111101000000000000111 +10100000000000111111101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111101001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000111 +01010000000000000000000000000000 +00000000000000000000000000000000 +00011000000111011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110111000100110 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000001011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000011110111000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111000000100001110000000000000 +10111000000000000010111000000000 +00001011100000000000001110100000 +00000000101110000000000000101110 +00000000000010111000000000000010 +11100000000000001011100000000000 +00101110000000000000101110000000 +00000010111000000000000010111000 +00000000001011100000000000001011 +10000000000000101100011001000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011100010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101101001000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +00001011100100000000001010100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001110100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111101101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111100101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010000000000010111010000000 +00001011101000000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000010 +11101000000000001011101000000000 +00101110100000000000101110100000 +00000010111010000000000010111010 +00000000001011101000000000001011 +10100000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10100011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00100100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11100111100000000011110111100000 +00001111011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111100000000011 +11011110000000001111011110000000 +00111101111000000000111101111000 +00000011110111100000000011110111 +10000000001111011110000000001111 +01111000000000111100101000000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11111110000000001111111110000000 +00111111111000000000111111111000 +00000011111111100000000011111111 +10000000001111111110000000001111 +11111000000000111101100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000011110111000000000011110111 +00000000001111011100000000001011 +01110000000000111110101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00100101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100010010000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00100000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010100011000000000010100011 +00000000001010001100000000001011 +00110000000000101101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00100110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001111 +10110000000000101110111100000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000000000011111011000000 +00001111101100000001001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111010100000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00000001000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111011110000000000111011110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111010000100000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000001000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000001000101110000100000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000100100110000 +00000010010011000000000010010011 +00000000001001001100000000001011 +00110000000000001100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000011010110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110000000000110 +00010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100010000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001011111110000000000 +11111111000000000011000111000000 +00001100111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00110111110000000000110011110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111011000000000010001011000000 +00101000101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101010110000000010110010110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010011010000000 +00001000001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100101000 +10100000011000000000100100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101110001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000001010001011010000 +00001000101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011111100000000 +00101010100000000000100110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111100000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000101011110110000000000 +11111011000000000011000011100100 +00001100101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00110010111001000000110110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100000001000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100000000000011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111011111000000100111011110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100001000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011111000 +00001100101100000000001101101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100100000 +00111110011000000000110010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010110000000000 +10111011000000000011101001110000 +00001000101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101101100000 +00101100110000000000100010110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101101001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000000010100110000000000 +10111011100000000010110011000000 +00001000001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011101101000000 +00100100110000000000100000110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00100000000000010001111000000000 +10110111100010000010100111100000 +00001000011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101111111101000000100001111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100100000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000010000000110000000000 +11110011000000000011110011000000 +00101100001100000000001111001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11001100000000001111001100000001 +00111100110000000010110000110000 +00000011110011000000000011110011 +00000000001111001100000000001111 +00110000000000111100001000000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011101111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111101110000010000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001101101100 +00000000111110110000000000111110 +11000000000011011011000000000011 +11101100000000001111101100000000 +00110010110000000010110110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100101000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000000011001110000000000 +10110111000000100010110111000000 +00001011011100000000001011011100 +00000000101101110000000000111001 +11000000000010110111000000000010 +11011100000000001011011100100000 +00100001110000000000100001110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101101001000100000 +01100000000000000000000000000000 +00000000000000000000000000000000 +11000000000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010010111100000000010 +11011110000000001011011110110000 +00100001111000000000100001111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100000001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000100010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101000 +11000000000010110011000000000010 +11001100000000001011101100000000 +10100000110000000000100000110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101101000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011110110111000 +00001111101000000000001101101000 +00000000111110100000000000111110 +10000000000011011010000000000011 +11101000000000001111101000000100 +00110001100000010000110010100000 +00000011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000100000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000001001111100000000000 +00111110001000000100111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111101001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000000000011111001000000 +00001100100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00010010010000000000110010010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001010000 +00001000100100000000001011100100 +00000000101110010000000000111010 +01000000000010111001000000000010 +11100100000000000110110111000000 +00101010010000000000100010010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001000100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000000001100100011000 +00001010011000000000100010010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010111001100000 +00001000000100000000001011000100 +00000000101100010000000000101000 +01000000000010110001000000000010 +11000100000110001010000100100100 +00001000011000000000100000010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000001010110000000000000 +11111000000000000011111000000100 +00101000100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111000011011000 +00111000000101000010110010000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000111 +01010000000000000000000000000000 +00000000000000000000000000000000 +00011000000110011110010000000000 +11111001000010000011111101001000 +00001111100100000000001111100100 +00000000111110010000000000111010 +01000000000011111001000000000011 +11100100000000001111110100000000 +00111111010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100111000100110 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000001011110010000000000 +11111101000000000011111101000000 +00001100100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000110011 +11100100000001001111110110100000 +00110011010100000000110010010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100111000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00111000000100001110000000000000 +10111000000000000010111000000000 +00001101100000000000001111100000 +00000000101110000000000000101110 +00000000000010111000000000000011 +11100000000001001011100001000000 +00101000001000000000100010000000 +00000010111000000000000010111000 +00000000001011100000000000001011 +10000000010000101100011001000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011100010000000000 +10110001000000000010110001000000 +00001000000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100010000 +00100000010010000010100000010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101101001000000001 +00100000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001110000 +00001001100100000000001010100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +10100100000000001011100100000000 +00101000010000100000100110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001000000000011111001010000 +00001100100100000000001011100100 +00000000111110010000000000111110 +01000000000011111001000000000010 +11100100000011001111100100000000 +00110010011000000000110010010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000000011010010000001000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000001 +11100100000000000011000100000000 +00111110010010000000111010010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111101101000010100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000010010 +00001100100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +10110010000000000010110010000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010001000000010111110000000 +00001000101000000000001001101000 +00000000101110100000000000101110 +10000000000010111010000000000010 +11101000000000001011111010000000 +00100011101000000000100010100000 +00000010111010000000000010111010 +00000000001011101000000000001011 +10100000000000101100001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010111011110000 +00001000001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001001001100100000 +00100000110000000000100100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10110101000000000010110101010000 +00001000011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000100001011011100001000 +00100001110000100000100001110000 +00000010110111000000000010110111 +00000000011011011100000000001011 +01110000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11110111100000000011111111100000 +00101100011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111100000000011 +11011110000000001111111010000000 +01110001111000000000110101111000 +00010011110111100000000111110111 +10000000001111011110000000001111 +01111000000000111100101100000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111001000000000011111001000000 +00001111101100000000001101101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110100000000000111010110000 +00000111111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000010 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000001011111111000000000 +11111101100000000011111101100000 +00001100111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111000000000011 +11111110000000001111110110000000 +00110011111000000000010011111000 +00000011111111100000000011111111 +10000000001111111110000000001111 +11111000000000111101100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110101000000100010110101000000 +00001000011100000000001111011100 +00000000101101110000000000101101 +11000000000010110111000000000011 +11011100010000001011010000000000 +00100001010000000100100001110000 +00000011100111000000000011100111 +00000000001111011100000000001011 +01110000000000101110101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10110101000000000010110101000000 +00001000011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011000000000 +00100011010000000000100001110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100000000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00100000000101001100110000000000 +10111001000000000010110001010000 +00001000001100000000001010001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +10001100000001001011100000000000 +00100000000100001100100000110000 +00010010100011000000000010100011 +00000000001010001100000000001011 +00110000000000101101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000101011010110000000000 +11111011000000000011110011100000 +00001100101100000000001011101100 +00000000111110110000000000111110 +11000000000011111011000000000010 +11101100000000001111101100000000 +00110010001111000000110010110000 +00000010111011000000000010111011 +00000000001011101100000000001111 +10110000000000111100101000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111001000000000011111001000100 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101101000000 +00111110000100000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110100000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00000001000100001111110000000000 +11111111110000000011001111000010 +00001100111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111110010000000 +00111111000001000000110011110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111100000001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000001000001000110110000001000 +10111001000000100010001001110000 +00001000101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101011010000 +00100011011100000000110010110000 +00000010111011000000000010111011 +00000000001011101100000000001110 +10110000000000101110000001000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010001011110000 +00001001101100000000001010101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011100101000000 +00100000000000100000100010110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110001000000000010001001000000 +00001001001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000110 +11001100000000001011000100000000 +00100000000000000000100000110000 +00000010110011000000000010010011 +00000000001001001100000000001010 +00110000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000011010110110000000000 +11111011000000001011001010000000 +00101101101100000000001110101100 +00000000101110110000000000111110 +11000000000011111011000000000011 +11101100000000001011000000000000 +00111110000000000010100010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100000000000010 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111101000000000011110101000000 +00001110111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111110000000000 +00111101010000000000111011110000 +00000011111111000000000111111111 +00000000001111111100000000001111 +11110000000000111110100000000111 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000001000101111100000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101000 +11000000000010110011000000000010 +10001100000000001010001100000000 +00101100110000000000101000110000 +00000010100011000000000010110011 +00000000001011001100000000001010 +00110000000000101111001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000011011101100000000001011 +10110000010000101111100000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000001000001011110110000000000 +11111011000000000010111011000000 +00001111101100000000001111101100 +00000000111110110000000000111010 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000001001110 +10110000001000111100000001000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100001000100011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111100001000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011101011000000000011111011 +00000000001111101100000000001111 +10110000000000111101010000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000010010110000000000 +10111011000000000010111011000000 +00001111101100010000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001111101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00000000000001000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001001001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101111101000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00100000000000000001111000000000 +10110111100000000010110111100000 +00001010011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101111110000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000110000000110000000000 +11110011000000000011110011000000 +01001011001100000000001111001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11001100000000001111001100000000 +00111100110000000000111100110000 +00000011100011000000000011110011 +00000000001111001100000000001111 +00110000000000111101101000000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111001011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001110111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000010 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000100000011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101111001000100000 +01100000000000000000000000000000 +00000000000000000000000000000000 +00100001000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100000001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +11101000000101001100110000000000 +10110011000000000110110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000001001010100000000000 +11111010000000000011111010000000 +00001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +11101000000000001111101000000000 +00111110100000000000111110100000 +00000011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000100001010000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111101001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10001000000100001010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000010010000000000 +10111001000000000010111001000000 +00001011100100010000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100001000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000111010110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000001 +01010000000000000000000000000000 +00000000000000000000000000000000 +00111000100111011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110111000100100 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111000000001011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110111000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011001000000001110000000000000 +10111000000000000010111000000000 +00001011100000000000001011100000 +00000000101110000000000000101110 +00000000000010111000000000000010 +11100000000000001011100000000000 +00101110000000000000101110000000 +00000010111000000000000010111000 +00000000001011100000000000001011 +10000000000000101100011001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101000010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101101001000000001 +00100000000000000000000000000000 +00000000000000000000000000000000 +00011000000101001010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10100000000001001010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01101000000100011010010000000000 +11111001000000000011111001000000 +00011111101100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111101101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000000000 +00001101100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001110100000000000001111 +10000000000000111100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000001010010100000000000 +10111010000000000010111010000000 +00001011101001000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000010 +11101000000000001011101000000000 +00101110100000000000101110100000 +00000010111010000000000010111010 +00000000001011101000000000001011 +10100000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001010100110000000000 +10110011000000000010110011000000 +00001001000100001000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001010001100000000001011 +00110000000000101100001000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00100000000100010001110000000000 +10110111000000000010110111000000 +00001011010101000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00101000000000001001111000000000 +11110111100000000011110111100000 +00001101010010000000001111011110 +00000000111101111000000000111101 +11100000000011110111100000000011 +11011110000000001111011110000000 +00111101111000000000111101111000 +00000011110111100000000011110111 +10000000001110011110000000001111 +01111000000000111100101000000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000101011010110000000000 +11111011000000000011111011000000 +00001111100100001000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +01100000000001001011111000000000 +11111111100000000011111111100000 +00001111111110000000001100111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11111110000000001111111110000000 +00111111111000000000111111111000 +00000011111111100000000011111111 +10000000001111111110000000001111 +11111000000000111101100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000000001001110000000000 +10110111000000000010110111000000 +00001011011000000000001101011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101110101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10110111000000000010110111000000 +00001011010000000000001000011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100010010000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01000000000101001000110000000000 +10110011000000000010110011000000 +00001011000000000000001001001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001011010110000000000 +11111011000000000011111011000000 +00001111101100000000001100101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110100000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10100000000100001110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110100000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00000001000100001111110000000000 +11111111000000000011111111000000 +00001111111010000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110000000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000001000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000001000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010110110000000000 +10111011000000000010111011000000 +00001011101100100000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000000110000000000 +10110011000000000010110011000000 +00001011001000000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000110000110110000000000 +11111011000000000011111011000000 +00001111100000000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110000000000110 +00010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00001111010000000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100010000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001011111110000000000 +11111100000000000011001100000000 +00001100011100000000001100111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100010000001100111000010000 +00110011100000000000110011110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111010000000000010001000000000 +01001000101100000000001000101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100100000001010101000100000 +00101010100000000000110110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000110 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110010000000000010000001000000 +10001000001100000000001000001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100100000001000001110000000 +00100000101000000000100000110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101110001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111010000010001010001001100000 +00101000101100000000001000101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001010101100010000 +00101010100010000000100110110000 +00000010111011000001000010111011 +00000000001011101100000000001011 +10110000000000101111000000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000101011110110000000000 +11111000000000010011001010000000 +10001100101100000000101100101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001100100010000000 +00110010001000000000110010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101000001000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100000000000011011110000000000 +11111110000000000011110110000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000001000011 +11111100000000001111110010000000 +00111111001000000100111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110001100000 +11110010000000000011001011000000 +10001101101100000000001100101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100010000001111100000000000 +10110010000000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010111000000000 +10111010011100000010001011110100 +00001011001111010000001000101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011100000000100 +00100010000000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101101001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000001010100111100000000 +10110001000000000010000001000001 +00001011001111000000001010001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11101100100000001011100000000000 +00100010100000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100100000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00100000000000010001111000000000 +10110011100000001010000101100010 +01001011011110000000001000011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011010000000 +00100001101000000100101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100100000000100 +00010000000000000000000000000000 +00000000000000000000000000000000 +00001000000010000000110000000000 +11110011000000000011000001010100 +00001111001100001000101110001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11001100000000001111100100000000 +00110000010000000000111100110000 +00000011110011000000000011110011 +00000000001111001100000000001111 +00110000000000111100101000000010 +00010000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111101000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111010000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011100111000000000 +11001000000000000011001001000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101110000000001100000000000000 +00110000000000000000110010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000100011001110000000000 +10000010000000001010000111000000 +01001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001000010000000000 +00110101000000000000100001110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000111101001000100110 +01100000000000000000000000000000 +00000000000000000000000000000000 +11000000000000001001111000000000 +10000111100000000010000101100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001000110110000000 +00100011001000000000100001111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000010 +10000011010010000010000011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000101000000101000000 +00100100000000000000100000110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101001000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11001110000000000011001110000000 +10001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +11101000000000001100111000000000 +00110011100100000000110010100000 +00000011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011110000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000100000 +00111110001000000010111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111001001000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11110001000000000011001001000000 +00001100100100000000001110100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100110010000001111100100000000 +00110110010000000000110010010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000001010001001000000 +00001000100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100101000000001011000100000000 +10100000010000000000100010010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010001001000000 +00101000100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100001000001011100100000000 +00100010010000000000100010010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100111000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010000001001010 +00001000000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011100100000001 +00100010010000000010100000010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100101000000101 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000001000000011001000000000 +00001100100000000000001110100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11000001010000001111100000000000 +00110110000100000000110010000100 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +00011000000111011110010000000000 +11111101010000000011111101000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111110100101000 +00111111011010100010111110011010 +10000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100011000100100 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000001011100010000000000 +11111001000000000011001011000000 +00001100100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11110100000000001111101100000000 +00111110110000000000111110010100 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100011000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111000000100001110000000000000 +10111000000000000010001000000000 +00001000110000000000001111100000 +00000000111110000000000000101110 +00000000000010111000000000000010 +11100000000000001011101010100000 +00101110001010100000101110001000 +00000011111000000000000010111000 +00000000001011100000000000001011 +10000000000000101100111000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011101010000000000 +10110101100000000010000101100000 +00001000010100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100001000 +00101100011000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101101001000000001 +00100000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111101000000000010001101000000 +00001000110100000000001010100100 +00000000101010010000000000101110 +01000000000010111001000000000010 +11101100000000001011100100000000 +00101110010000000000101110010000 +00000010101001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001110000001011001001000000 +00001100100100000000001011100100 +00000000101110010000000000111110 +01000000000011111001000000010011 +11100100000100001111100101000000 +00111110010000000000111110010000 +00000010111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +00101000000000011010010000000000 +11111001110000000011111001000000 +00101111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000000 +11100100001000001111100100000000 +00111110011100000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111101001000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001011000000000000 +11000100000000000011110100000000 +00001111110000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001100100001000000 +10110010000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10001010000000000010111010000000 +00001011101000000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000010 +11111000000000001000101000000000 +00100010100000000000101110100000 +00000010111010000000000010111010 +00000000001011101000000000001011 +10100000000000101100101000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000010 +10000011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001110000000001000001100000000 +00100000110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100101000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001001000000000 +10000100000000000010110100000000 +01001011010000000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011011000000001000011110000000 +00100001110010000000101101110000 +00000010010111000000000010110111 +00000000001001011100000000001011 +01110000000000101110000000000100 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11000111100000000011110111100000 +00001111011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111100000000011 +11111110000000101100111110000000 +00110001111000000000111101111010 +00000011110111100000000011110111 +10000000001111011110000000001111 +01111000000000111110001000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010000000000000 +11111000000000000011111000000000 +00001111100000000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101000000000001111101100000000 +00111111110001000000111111110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000001011111111000000000 +11111111100000000011000111100000 +00001100111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11110110000000001100111110000000 +00111111111000000000111111111000 +10000011111111100000000011111111 +10000000001111111110000000001111 +11111000000000111100000000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001000000000000 +10110100000000010010000100000100 +01001000010000000000001011011100 +00000000101101110000000000101101 +11000000000010110111000100000010 +11010100010000001010011100000000 +00111101110000000000111101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101110101000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10110111000000000010000111000010 +00001000011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11010000000000001000011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100000000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00100000000101001100000000000000 +10110000000000000010000000000000 +00001000000000000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11000000000000001010001110000000 +00101000110000000000001010110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000101011010110000000000 +11111011100000000011001011000000 +00001100101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11100100000000001100111100000000 +00101111110000001000101111110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110000000000000 +11111000100000000011111000000000 +00001111100000000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101101000000001111101100010000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110000000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00000001000100001111110000000000 +11111011000000000011111111000000 +00001100111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111001000000001111111100000000 +00111111110000000000111111110000 +00000011001111000000000011111111 +00000000001111111100000000001111 +11110000000000111100100001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000001000001000110000000000000 +10111000000000000010110000000000 +00001000100000000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101001000000001011111100000000 +00101110110000000000101110110000 +00000010101011000000000010111011 +00000000001011101100000000001011 +10110000000000101110100001000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111011000000 +00001000101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11100100000000001011101100000000 +00101111110000000000101111110000 +00000010001011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000000000000000 +10110000000000000010110000000000 +00001000000000000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11000100000000001011001100000010 +00101100110000000000100100110000 +00000010100011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000011010110110000000000 +11111011000000000011111011000000 +00001100101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11001000000000001111101100000000 +00111110110000000000111110110000 +00000011001011000000000011111011 +00000000001111101100000000001111 +10110000000000111100000000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111000000000000 +11111100000000000011111100000000 +00001111110000000000001111111100 +00000000111111110000000000011111 +11000000000011111111000000000011 +11110000000000001111111100000000 +00111111110000000001111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100000000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00010000101111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111011000000000010111011000000 +00001011101100000110001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110100000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101000110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101110001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111100000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000101011110110000000000 +11111011000000000011111011000000 +00001111101100000011001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000010000111100000000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100001000000011011110000000000 +11111111000000000011111111000000 +00001111111100000100001111111100 +00000000001111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000101110100001000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101101001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000100100110000 +00000010010011000000000010110011 +00000000001011001100000000001011 +00110000000000101100100000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00100000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101101100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000010000000110000000000 +11110011000000000011110011000000 +00001111001100000000001111001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11001100000000001111001100000000 +00111100110000000000111100110000 +00000011110011000000000011110011 +00000000001111001100000000001111 +00110000000000111101001000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110001000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101101001000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +11000001000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101111000001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011111010000000 +00001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +11101000000000001111101000000000 +00111110100000000000111110100000 +00000011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100001 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111101001000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000100001110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100110 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100111 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100101 +10000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010011001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +00011000000111011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110011000000010 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000001011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110011000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111001000100001110000000000000 +10111000000000000010111000000000 +00001011100000000000001011100000 +00000000101110000000000000101110 +00000000000010111000000000000010 +11100000000000001011100000000000 +00101110000000000000101110000000 +00000010111000000000000010111000 +00000000001011100000000000001011 +10000000000000101100111001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011100010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +01001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101001010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000000001010010000000000 +11111001000000000011111001000000 +00001111100100000000001111101100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000000000 +00001111100000000010001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001000010100000000000 +10111010000000000010111010000000 +00001011101000000000001011101001 +00000000101110100000000000101110 +10000000000010111010000000000010 +11101000000000001011101000000000 +00101110100000000000101110100000 +00000010111010000000000010111010 +00000000001011101000000000001011 +10100000000000101100101000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011000110 +00100000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100101000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00100000000000010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011010101 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00101000000010000001111000000000 +11110111100000000011110111100000 +00001111011110000000001111010110 +00000000111101111000000000111101 +11100000000011110111100000000011 +11011110000000001111011110000000 +00111101111000000000111101111000 +00000011110111100000000011110111 +10000000001111011110000000001111 +01111000000000111100101000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111100101 +00100000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111110110 +00000000110011111000000000111111 +11100000000011111111100000000011 +11111110000000001111111110000000 +00111111111000000000111111111000 +00000011111111100000000011111111 +10000000001111111110000000001111 +11111000000000111101000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011010100 +00000000110101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101110101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011000 +00000000100001110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100010010000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01100000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000011011001000 +00000000100100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101101000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00101000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101000 +00000000110010110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000000000011111011000000 +00001111101100000100001111101000 +00000001111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110010000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00000001000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111110110 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110000000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000001000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011100110 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000001000101110000001000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101000 +01100000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001000 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000011000110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101000 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110000000000010 +00010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111011000 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000100111110100000000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001011111110000000000 +11111111000000000011111100000000 +00001100111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111110000000000 +00111101000001000000110011110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111011000001000010111011000000 +00001000101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011100000000000 +00101110000010000000110010110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110100000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010110000100000 +00001000001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011000000000000 +00101110000000010000100100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101110001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001000101100000000001011101100 +00000000101110110000010000101110 +11000000000010111011000000000010 +11101100000000001011100000001000 +00101110000010000000100110110000 +00000010111011000001000010111011 +00000000001011101100000000001011 +10110000000000101111100000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000101011110110000000000 +11111011000000000011110010000000 +00101100101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111100001000000 +00111100010000010000110110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100000001000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100000000000011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111110000100000 +00111111100000000000111011110000 +01000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100001000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011100000000011111010000010 +00001100101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001100100101010000 +00111110000000000000110010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010110000000000 +10111011000000000010111011011000 +00001000101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001010100110000000 +00101110001000000000100010110000 +00000000111011000000000010111011 +00000000001011101100000000001011 +10110000000000101101001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000001010100110000000000 +10110011000000000010110001000000 +00101000001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001000000011100000 +00101100000000000010100000110000 +00000000110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00100000000000010001111000000000 +10110111100000000010110111100000 +00001000011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001010010010000010 +00101111101000000000100001111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100100000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000010000000110000000000 +11110011000000000011111001000000 +00001100001100000000001111001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11101100000000001100000000100000 +00111100000000100000110000110000 +00000011110011000000000011110011 +00000000001111001100000000001111 +00110000000000111100001000000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111000000000 +00111111000001000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11110011100000000011001011000000 +00001100101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111100000000000 +00110010010000000000110010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000100011001110000000000 +10110111000000000010000011000000 +00001000011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011010000000000 +00110101100000000000000001110000 +00000011110111000000000010110111 +00000000001011011100000000001011 +01110000000000101101001000100000 +01000000000000000000000000000000 +00000000000000000000000000000000 +11000000000000001001111000000000 +10110111100000000010000111100000 +00101000011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011110010000000 +00100011001000000000100001111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100000001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010000011000000 +00001000001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001001000000 +00100100000001000000100000110000 +00000010100011000000000010110011 +00000000001011001100000000001011 +00110000000000101101101000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011001110000000 +00001100101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +11101000000000001111011000000000 +00110011100100000010110010100000 +00000010111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000001011111000000000 +01001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100001000000 +00111110001000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111101001000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000000000011110001000000 +00101100100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100110001000 +00110010010000000010110010010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001010000 +00001000100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100101011001 +00101000010000000001100010010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001010001 +00001000100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00100010010001000000101010010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001001010 +00101000000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011100100000000 +00101010010000000000100000010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000011111000010001 +00001100100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00110010000101000000101010000000 +00100011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000111 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000111011110010000000000 +11111001000000000011111101000010 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100101010001111010100000000 +00111101010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100111000100111 +01100000000000000000000000000000 +00000000000000000000000000000000 +00011000000001011110010000000000 +11111101000000000011001111000000 +00001100100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100101000000001111110100000110 +10110011010100000000110010010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100111000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111000000100001110000000010000 +10111000000000000010001000000000 +00101000100000000000001011100000 +00000000101110000000000000101110 +00000000000011101000000000000011 +10100010100000001011100000000000 +00100010001000000000110110000000 +00000010111000000000000010111000 +00000000001011100000000000001011 +10000000000000101100011001000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011100010000000000 +10110001000000000010000001000000 +00001000000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00100010010000000000100000010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101101001000000001 +01100000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000001010001001000000 +00001000100100000000001011100100 +00000000101110010000000000101110 +01000000000010101001000000000010 +10100100000000001011100100000000 +00100010010010000000100110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001000000010011001001000000 +00001100100100000000001011100100 +00000000101110010000000000111110 +01000000000011111001000000000011 +11100100000000001111000111100010 +00110010010110000100110010010000 +00100011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011101001000000000011 +10100100000000001111100101000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111101101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000001000 +00101100100000000000001110100000 +00000000111010000000000000111110 +00000000000011111000000000000011 +11100000000000001111100011000000 +00111110000100000000110010000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10110010000000000010111110000000 +00001000101000000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000010 +11101000000000001011111011001000 +00101100100000000000100010100000 +00000010111010000000000010111010 +00000000001011101000000000001011 +10100000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110001000000000010111010000000 +00001000001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001010000000 +00101100111000000000100000110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10110111000000000010110110000000 +00001000011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011010000000000 +00101101110100000000100001110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11110101100000000011110010100000 +00001100011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111100000000011 +11011110000000001111010110000000 +00111111111000000010100001111000 +00000011110111100000000011110111 +10000000001111011110000000001111 +01111000000000111100101000000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111010000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111100100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000001011111111000000000 +11110101100000000011001110100000 +00001100111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11111110000000001111110010000000 +00111111011000000000110011111000 +00000011111111100000000011111111 +10000000001111111110000000001111 +11111000000000111101100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000001010001110000000 +00101000011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011010000001000 +00101101010000000000110001110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101110001000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10110101000000000010000110010000 +00001000011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011010100000000 +00101111110000000000100101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00100000000101001100110000000000 +10110011000000000010001010000000 +00001000001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011000000000001 +00101100010000000000100100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000101011010110000000000 +11111011000000000011001010110000 +00001100101100000000001011101100 +00000000111110110000000000111110 +11000000000010111011000000000011 +11111100000000001111000000000000 +00111110111010000000110110110000 +00000010111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100101000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000000000011111000110000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111100000000000 +00111110110010000000111010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110100000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00000001000100001111110000000000 +11111111101000000011111110000000 +00101100111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111110100000000 +00110011011001000000110011110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111100000001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000001000001000110110000000000 +10111011100000000010111000100000 +00001000101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11111100000000001011100010000011 +00100001110000000000100010110000 +00000010111011000000000010111011 +00000000001011101100000000001001 +10110000000000101110000001000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111010110000 +00001000101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011100000010000 +00100010010000000000100010110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110001000000 +00001000001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011000010000001 +10100010010000000010100000110000 +00000010010011000000000010110011 +00000000011011001100000000001001 +00110000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000011010110110000000000 +11111011000000000011111011000000 +00001100101100000010011111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111100100000000 +00110010010000000100100010110000 +00000111111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100000000000110 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111100000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000111111111000000000011 +11111100000000001111110000000000 +00111101010000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001101 +11110000000000111110100000000011 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000110111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111111000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000100001110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00001100101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101111000000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +11001000000001011100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000001001011 +00110000000000101111001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000110 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000010000101111100000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000001000101011110110000000000 +11111011000000000011111011000000 +00001011101100000000001111101100 +00000000111110110000010100101110 +11000001000010111011000000000011 +11101100000001001111101100000010 +00111110110000000000110110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100100001000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +11100001000000011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000011111110000 +00000011111111000000000011111111 +00000000001111111100000000011111 +11110000000000111111110001000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000100000100001010110000000000 +11111011000000000011111011000000 +00001110101100000000001111101100 +00000000111110110000000000111010 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001101101100000000001111 +10110000000000111101010000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +11011000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000001001011101111010000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000001001011101100000000001011 +10110000000000101111001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +11100000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001010001111000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001001001100000000001011 +00110000000000101111000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +10110000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000011011011110000000 +00101101111000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101111110000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000010000000110000000000 +11110011000000000011110011000000 +00001111001100000000001111001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11001100000000001111001100001000 +00111100110000000000111100110000 +00000011110011000000000011110011 +00000000001101001100000000001111 +00110000000000111101101000000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110001000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100101000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +11001000100100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101111001000100000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000001000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100000001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011111010000000 +00001011101000000000001011101000 +00000000101110100000000000101110 +10000000000011111010000000000010 +11101000000000001111101000000000 +00111110100000000001111110100000 +00000011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100001000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000010000111101001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10001000000100001110010000010000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111010010000000000111010 +01000000000011111001000000000011 +11100100000000001111100110010000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100101000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000001000010111001000000000010 +11100100000000001011100101000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000010011011000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011011110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000011110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000111 +01010000000000000000000000000000 +00000000000000000000000000000000 +00011000100111011110010000000000 +11111001000000000011111001000000 +00011111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110111000100110 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000001011110010000000000 +11111001000000000011111001000000 +00011111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111110100000000 +01111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110111000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111001000100001110000000000000 +10111000000000000010111000000000 +00001011100000000000001011100000 +00000000101110000000000000101110 +00000000000010111000000000000010 +11100000000000001011100000000000 +00101110000000000000101110000000 +00000010111000000000000010111000 +00000000001011100000000000001011 +10000000000000101100011001000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +01001000000001011100010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101101001000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101001010010000000000 +11111001000000000011111001000000 +00001011100100000000001111100100 +00000000111110010000000000111110 +01000000000010111001000000000011 +11100100000000001111100100000000 +00101110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01101000000000001010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111101101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000001000 +11111000000000000011111000000000 +00001111100000000001001111100000 +00001000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000010000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000010001000010100000000000 +10111010000000000010111010000000 +00001011101000000000001011101000 +00000000101110100000000000101110 +10000000000011101010000000000010 +11101000000000001011111010000000 +00101110100000000000101110100000 +00000010111010000000000010111010 +00000000001011101000000000001011 +10100000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000011011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001110000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00100000000000010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011010000000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00101000000010000001111000000000 +10110111100000000011110111100000 +00001111011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111100000000011 +11011110000000001111011110000000 +00111101111000000000111101111000 +00000011110111100000000011110111 +10000000001111011110000000001111 +01111000000000111100101000000110 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011101011000000000011 +11101100000000001111100100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000110100001000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11111110000000001111111110000000 +00111011111000000000111111111000 +00000011111111100000000011111111 +10000000001111111110000000001011 +11111001000000111101100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011000000000 +00100001110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101110101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011010100000000 +00101001110000000000101101110000 +00000010100111000000000010110111 +00000000001011011100000000001011 +01110000001000101100010010000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01100000000101001100110000010000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011000100000000 +00100000110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000010111011000000000011 +11101100000000001111001100000000 +00111010110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110101000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000000000011111011000000 +00001111101100000001001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111100001000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110110000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00000001000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111000000000 +00110011110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110000000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011100001100000 +00101010110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000001000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00100010110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000000110000000000 +10110011000000000010110011000000 +00001011001100000001001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001000000000 +00101000110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000010000110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111100000000000 +00110010110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110000000000110 +00010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111010000000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000100111110100010000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111100000000000111111 +11000000000011111111000000000011 +11111100010000001111011100000000 +00111111010000010000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110100000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110010000000000110010110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000110 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100000000000000101100 +11000000000010110011000000000010 +11001100100000001011001100000000 +00101100010000000000101100110000 +00000110110011000000000010110011 +00000000001011001100000000001011 +00110000000000101110001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110100000100000101110 +11000001000010111011000000000010 +11101100000000001011101100000000 +00101110011000000000101110110000 +00000010111011000001000010111011 +00000000001011101100000000001011 +10110000000000101111000000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000101011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110100000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000010 +00111110010000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101000001000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100000000000011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111100010000000111111 +11000000000011111111000000000011 +11111100000000001111111100010000 +00111111010000000000110011110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110010000000000110010 +11000000000011111011000000000011 +11101100110000001111101100000000 +00110010010000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000100001011101100 +00000000101110010000000000100010 +11000000000010111011000000100010 +11101101000000001011101110001000 +00000010010000000100101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101101001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100100000000000100000 +11000000000010110011000000000010 +11001101000000001011001100100000 +00100000010000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00100000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101111111000000000100001 +11100000000010110111100000000010 +11011110000000001011011110000000 +00100001011000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100100000000100 +00010000000000000000000000000000 +00000000000000000000000000000000 +00001000000010000000110000000000 +11110011000000000011110011000000 +00001111001100000000001111001100 +00000100111100000000000010110000 +11000000000011110011000100000011 +11001100000000001111001100000010 +00110000010000000000111100110000 +00000011110011000000000011110011 +00000000001111001100000000001111 +00110000000000111100001000000010 +00010000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111101110000000000111111 +11000000000011111111000000000010 +11111100000000001111111101010000 +00111111010000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111101000000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111100100000000000110110 +11000000000011111011000000000011 +11101100000000001100101101000000 +00011110111000000000110010110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000100001 +11000000000010110111000000000010 +11111100000000001010011100000000 +00101101010000000000110101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101101001000100110 +01100000000000000000000000000000 +00000000000000000000000000000000 +11000000000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101111101000000000100101 +11100000000010110111100000000010 +11011110000000001010011110001000 +00101111111000100000100001111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000010001011001100 +00000000101100111000000000100000 +11000000000010110011000000000010 +11001100000000011010000100000100 +00101100010000000000100100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101101000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011111010000000 +00001111101000000000001111101000 +00000000111101100100000000110110 +10000000000010111010000000000011 +11101000000000001110101010000000 +00111110100000000000110010100000 +00000011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000101 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110001001000000111110 +00000000000011111000000000000011 +11100000000000000011100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111101001000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110011100000000110010 +01000000000011011001000000000011 +11100110000000001100101100000100 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000011111100100000000001111 +10010000000000111100001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000110110 +01000000000010111001000000000010 +11100111001000001000100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00011011100100000000001011100100 +00000000101110010000000000100010 +01000000000010111001000000000010 +11000100010000001000100100011000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100111000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101110010000000000100100 +01000000000010110001000000000010 +11000100000000101000000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100101000000101 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000110010 +00000000000011011000000000000011 +11100001010000001100100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001011100000000000001111 +10000000000000111110111000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +00011000000110011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111111010000000000001110 +01000000000111111001000000000011 +11100100000000001111100100000000 +00111101010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100011000100100 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000001011110010000000000 +11011001000000000011111001000000 +00001111100100000000001111100100 +00000000111111010000000000111110 +01000000000011111001000000000011 +11110100000000001100110100000000 +00110010010000011000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100011000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111000000100001110000000000000 +10111000000000000010111000000000 +00001011100000000000001011100000 +00000000101110000000000000101110 +00000000000010111000000000000010 +11100000000000001010100000000000 +00110110000000000001101110000000 +00000010111000000000000010111000 +00000000001011100000000000001011 +10000000000000101100111000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011100010000000000 +10010001000000000010110001000000 +00001011000100000000001011000100 +00000000101100011000000000101100 +01000000000010110001000000000010 +11000100000001011010100100000000 +00100000010000000000101100010000 +00000010110001000000000110110001 +00000000001011000100000000001011 +00010000000000101101001000000001 +00110000000000000000000000000000 +00000000000000000000000000000000 +00011000000100011010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000001101110010000000000101110 +01000000000010111001000000000010 +11000100000000011010100110000000 +00100110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000001 +01100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11011001000000000011111001000000 +00001111100100000000001111100100 +00000001101110010101000000111110 +01000000000011111001000000000011 +11100100000000001110100110000000 +00110010010000000000101110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110100000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +00101000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100001100001111100100000001 +10111010010000000100111110010000 +00010011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111101001000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000000000 +00001111100000000000001110100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001100100000100000 +00111110000000000000111110000000 +00000111111000000000000011111000 +00000000001111100000000000001111 +10000000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010000000000010111010000000 +00001011101000000000001011101000 +00000000111010100000000000101110 +10000000000010111010000000000010 +11111000100000100000011000000000 +00101110100000000000101110100000 +00010011111010000000000010111010 +00000000001011101000000000001011 +10100000000000101100101000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001010001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001111000000000001000111000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100101000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000011001010001000000 +00101101110000000000101101110000 +00000010100111000000000010110111 +00000000001011011100000000001011 +01110000000000101110000000000100 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11110111100000000011110111100000 +00001111011110000000001110011110 +00000000111101111000000000101101 +11100000000001110111100000000011 +11111010000001001101011010000000 +00111101111000000000111101111000 +00000010110111100000000011110111 +10000000001111011110000000001111 +01111000000000111110001000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111010110000000000001110 +11000000000011111011000000000011 +11100100000000001110100000000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +00000000111111111000000000111111 +11100100000011111111100000010011 +11111110000000001100110010000000 +00111111111000000000111111111001 +00000011101111100000000011111111 +10000000001011111110010000001111 +11111000000000111100000000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000100 +00001011011100000000001011011100 +00000000101101110000000000111001 +11000000000010110111000000000010 +11111000000000001010010000010000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101110101000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110100100000101101 +11000000000110110111000000000010 +11010000000000001000010000000000 +00101101110000000000101101110000 +01000010110111000000000010110111 +00000000001011011100000010001011 +01110000000000101100000000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00100000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000110 +11000000000000001010000000000000 +00101100110001000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001011101100 +00000000111110111000000000111110 +11000000000011111011000000000011 +11100100000000001100100110001000 +00111110110000000000101110110000 +00000011111011000000000011111011 +00000000001011101100000000001111 +10110000000000111100101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000000000011111011000000 +10001111101100000000001111101100 +00000000111110110000000001111010 +11000000000011111011000000000011 +11101100000000001111100000000000 +00011110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110000000010000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00000001000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111011000000000111111 +11000000000011111111000000000011 +11110110000000001111110010000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111100100001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000001000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000011011101100 +00000000101110010000000000101110 +11000000000010111011000000000010 +11100110000000001011100000000000 +00111010110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110100001000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110001000000101110 +11000000000010111011000000000010 +11101001100000001011100000100000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001000000000001011000000000000 +00101000110000000000101100110000 +00000010110011000000000010110011 +00000001001011001100000000001011 +00110000001000101100001000000101 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000011000110110000000000 +11111011000000000011111011000000 +00001111101100000000001011101100 +00000000111110010000000000111110 +11000000000011111011000000000011 +11100000000000001111100000000000 +00111110110000000000101110110000 +00000011111011000000000011111011 +00000010011111101100000000001111 +10110000000000111100000000000011 +00010000000000000000000000000000 +00000000000000000000000000000000 +10100000000011011011110000000000 +11111111000000000011111111000000 +00001111111100000000011111111100 +00000000111111010000000000011111 +11000000000011111111000000000011 +11110000000000001111110000000000 +00111011110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100000000001 +01100000000000000000000000000000 +00000000000000000000000000000000 +11000000000001011111110000000000 +11111111000000000011111111000000 +00011111111100000000001111111100 +00001000111111110000000000111111 +11000000000011111111000000000011 +11111100000000011101111100000000 +00111111110000001000111111110000 +00000011111111000000000011111111 +00000000001100111100000000001111 +11110000000000111111000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000100101110110000 +00000010111011000000000010111011 +00000000001101101100000000001011 +10110000000000101110100000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001001001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001000001100000000001011 +00110000000000101110001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000110 +11101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001001101100000000001011 +10110000000000101111100000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000100011110110000000000 +11111011000000000011111011000000 +00001011101100000000001111101100 +00000000111110110000000000111110 +11000000000010111011000000000011 +11101100000101001001101100000100 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001100101100000000001111 +10110000000000111100000000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100001000000011011110000000000 +11111111000000000011111111000000 +00001111111100001000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100001100 +00111111110000000000111111110000 +00000001111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100001000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000001000111110110000 +00000011111011000000000011111011 +00100000001111101100000000001111 +10110000000000111101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010110000000000 +10111011000000000010111011000000 +00001011101101001000001111101100 +00000000101110110000000000101110 +11100010000011101011000000000010 +11101100000000001011101101000000 +00101110110000000000101110110000 +00000010111011000000000010110011 +01000000001011101100000000001011 +10110000000000101101001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000001010100110000000001 +10110011000000000010110011000000 +00001011001100000000011011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +10010000001011001100000000001011 +00110000000000101100100000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +01100000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000010010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000000011 +01111000000100101101100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +01001000000010000000110000000000 +10110011000000000011110011000000 +00001111001100000000001111001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11001100000000001111001100000000 +00111100110000000000111100110000 +00000011110011000000000011110011 +00000000001111001100000000001111 +00110000000000111101001000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00001111111100000000001110111100 +00000000111111110000000000111111 +11000000000011101111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000111111111000100000011111111 +00000000001111111100000000001111 +11110000000000111101000000000010 +01100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110001000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000100011001110000000000 +10110111000000000011110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101101001000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +11000001000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101111000001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010100011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000010111010000000 +00001111101000000000001111101000 +00000000111110100000000000101110 +10000000000010111010000000000011 +11101000000000001111101000000000 +00101110100000000000111110100000 +00000011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00010000000011111000000000000011 +11100000000000001111100001000000 +00111110000000000000111110000000 +00000111111000000000000011111000 +01000000001111100000000000001111 +10000000000000111101001000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000000000011111001000000 +00001111100110000000001111100100 +00000000111110010000000000111010 +01000000000011111001000000000011 +11100100000000001111100110000000 +00111110010000000000111110010000 +00000011111001000001000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01011000000010111001000000000010 +11100100000000001011100111000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +01001000001011100100000000001011 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100100000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100101100000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000111111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +10011000000111011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +01111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111110011000000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10011000000001011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111110100000000 +01111110010000000000111110010000 +00000011111001000000000011111101 +00000000011100100100000000001111 +10010000000000111110011000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111001000100001110000000000000 +10111000000000000010111000000000 +00001011100000000000001011100000 +00000000101110000000000000101110 +00000000000010111000000000000010 +11100000000000001011100000000000 +00101110000000000000101110000000 +00000010111000000000000010111000 +00000000001101100000000000001011 +10000000000000101100111001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011100010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +10000100000000001011000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001000000100000000001011 +00010000000000101100001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +11000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000001101110010000 +00000010111001000000000010111001 +00000000001001100100000000001011 +10010000000000101100011000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001000000000011111001000000 +00001011100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +10100100000000001111100100000000 +00101110010000000000111110010000 +01000011111001000000000011110001 +00000000001000100100000010011111 +10010000000000111110100000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000000011010010000000000 +11111001000000000011111001000000 +00001111101100000000001111100100 +00000000111110010000000000111110 +11000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000001000 +11111000000000000011111000000000 +00001110100000100000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00010000001111100000000000001111 +10000000000000111100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010000000000010111010000000 +00000011101001100000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000010 +11101000000000001011111000001000 +00101110100000000000111010100000 +00000010111010000000000010111110 +10000000001011101000000000001011 +10100000000000101100101000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010110011000000 +00001011000100000000001011001100 +00000000101100110000000000101100 +01000010000010110011000000000010 +11001100000000001010001100000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100101000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10110111000000000010110111000000 +00001011010100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101001110000 +00000010110111000000000010110101 +00000000001011011100000000001011 +01110000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11110111100000000011110111100000 +00001111011110001000001111011110 +00000000101101111000000000111101 +00100100000011110111100000000011 +11011110000000001111011110000000 +00111101111000000000111101111000 +00000011110111100000000011110111 +10000000001111011110000000001111 +01111000000000111100101000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111011000000 +00001111100100100000001111101100 +00000000111110110000000000111110 +10001000000011111011000000000011 +11101100000000001111101000000000 +00111110110000000000111110110000 +00000011111011000000000011111000 +00000001001111101100000000001111 +10110000000000110100001000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001100111110 +00000000111111111000000000111111 +01100000000011001111100000000011 +11111110000000001111110110000000 +00111011111000000000111111111000 +00000011111111100000000011111111 +10000000001111111110010000001111 +11111001000000111101000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011000000000001101011100 +00000000101101110000000000101101 +11000000000011010111000000000010 +11011100000000001011010100000000 +00100001110000000001101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000010000111110101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00010000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001000011100 +00000000101101110000000000101101 +00000000000010010111000000000010 +11011100000000001011011100000000 +00101001110000000000101001110000 +00000010110111000000000010110111 +00000000001011011100000001001011 +01110000001000101100010010000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01100000000101001100110000000000 +10110011000000000010110011000000 +00001011000000000000001001001100 +00000000101100110000000000101100 +10000000000010010011000000000010 +11001100000000001011001000000000 +00100000110000000000101100110000 +00000010110011000000000010110010 +00000000001011001100000000001011 +00110000000000101101100000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10111000000101011010110000000000 +11111011000000000011111011000000 +00001111100000000000001000101100 +00000000111110110000000000111110 +11000000000011011011000000000011 +11101100000000001111001000000000 +00101010110000000000111110110000 +00000011111011000000000011111010 +00000000001111101100000000001111 +10110000000000111110111000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000000000011111011000000 +00001111100000000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101001000000 +00111110110000000000111110110000 +00000011111011000000000011111000 +01000000001111101100000000001111 +10110000000000111010000000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001111110000000000 +11111111000000000011111111000000 +00001111111000000000001110111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111110100000000 +00110111110000000000111111110000 +00000011111111000000000011111111 +00100000001111111100000000001111 +11110000000000111110000000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000101000001000110110000000000 +10111011000000000010111011000000 +00001011100001000000001011101100 +00000000101110110000001000101110 +11110100000010111011000000000010 +11101100000000001011100011110000 +00100010110000000000101110110000 +00000010111011000000000010111000 +11100000001011101100000000001011 +10110000001000101110010001000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111011000000 +00001011101100001000001011101100 +00000000101110110000000000101110 +10000000000010111011000000000010 +11101100000000001011100000000000 +00100110110000000000101110110000 +00000010111011000000000010111000 +00000000001011101100000000001011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110011000000 +00001011001000000000001011001100 +00000000101100110000000000101100 +10000000000110110011000000000010 +11001100000000001011000000000000 +00100000110000000000101100110000 +00000010110011000000000010110000 +00000000001011001100000000001011 +00110000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +10000000000011010110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +10000000000011111011000000000011 +11101100000000001111100100000000 +01110110110000000000111110110000 +00000011111011000000000011111001 +00000000001111101100000000001111 +10110000000000111110000000000010 +00010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00001111010000000000001111111100 +00000000111111110000000000111101 +10000000000011111111000000000011 +11111100000000001111010000000000 +00111111110000000000111111110000 +00000011111111000000000011111100 +00000000001111111100000000001111 +11110000000000111110100000000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111101110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110000000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000011 +10101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000001101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101110001000000001 +00110000000000000000000000000000 +00000000000000000000000000000000 +11000000000100011010110000000000 +10111011000000000010111011000000 +00001011101100000001001011101100 +00000000101110110000000000101110 +11000001000010111011000000000010 +10101100000000001011101100000000 +00101110110000000000101110110000 +00000010111011000001000010111011 +00000000001011101100000000001011 +10110000000000101111100000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000101011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101000001000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100000000000011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +10111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00001000111110110000100000110010 +11000000000011111011000000000011 +11101100000000001111101100010000 +00110010110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000100001011101100 +00000000111110110000000000100010 +11000000000010111011000000000010 +11101100000000001011101100000010 +00100010110000000000111110110000 +00000010111011000000000010111011 +00000100001011101100000000001011 +10110000000000101101001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000001010100110000000000 +10110011000000000110110011000000 +00001011001100000000001011001100 +00000000101100110100000000100000 +11000000000010110011000000000010 +11001100000000001011001110000000 +10100000110000000000101100110000 +00000010110011000000000010110011 +00000000011011001100000000001011 +00110000000000101100000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00100000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101001111000000000100001 +11100000000010110111100000000010 +11011110000000001011011110000000 +00100001111000000000101001111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101100100000000100 +00010000000000000000000000000000 +00000000000000000000000000000000 +00001000000010000000110000000000 +11110011000000000011110011000000 +00001111001100000000001111001100 +00000000101100110001000000110000 +11000000000011110011000100000011 +11001100000000001111001100000000 +00110000110000000000101100110000 +00000011110011000000000011110011 +00000000001111001100000000001111 +00110000000000111100001000000010 +00010000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000001000111 +11111100000000001111011100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000010001111 +11110000000000111101000000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111001110000000 +00110010110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100101000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00100001110000000000101101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101101001000100110 +01100000000000000000000000000000 +00000000000000000000000000000000 +11000000000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +10100001111000000000101101111000 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000001101100000000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00100000110000000000100100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101101101000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011111010000000 +00001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +11101000000000001111101000000000 +00110010100000000000111110100000 +00000011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000001111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111101001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110011001000000111110 +01000000000011111001000000000011 +11100100000000001111100101000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100110000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101010010000000000101110010000 +00000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100111000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100110000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000010110001000000000110110001 +00000000001011000100000000001011 +00010000000000101100101000000101 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111010000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +00011000000111011110010000000000 +11111001000000000011111001000000 +00001111100100000100001111100100 +00000000111110010000000000111110 +01000000000011111001000001000010 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000100000111100100000000001111 +10010000000000111100011000100100 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000001011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111110100000001 +00111110010000000100111110010000 +00000000111001000000000011111001 +00000010001011100100000000001111 +10010000000000111100011000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111000000100001110000000000000 +10111000000000000010111000000000 +00001011100000000000001011100000 +00000000101110000000000000101110 +00000000000010111000000000000010 +11100000000000001011100000000000 +00101110000000000000101110000000 +00000010011000000000100010111000 +00000000001011100000000000001011 +10000000000000101100111000000110 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011100010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00001001101100010000000000001100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000100101100010000 +00000110110001000000000010110001 +00000000001011000100000000001011 +00010000000000101101001000000001 +01100000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000001000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000110011001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +10100000000100011110010000000000 +11111001000000000011111001000000 +00001111100100000000001011100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000010011111001 +00000000001111100100000000001111 +10010000000000111110100000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +00101000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000100111110110000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000010 +00111110010000000000111110010000 +00010011011001000000000011111001 +00000000001111100100000000001111 +10010000000000111101001000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000000000 +00001111100000000000001110100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000001111110000000 +00000011111000000000100011111000 +00000000001111100000000000001111 +10000000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010000000000010111010000000 +00001011101000000000001110101000 +00001001101110101100000001101110 +10000000000010111010000000000010 +11101000000000001011101000100000 +00101110100000000001111110100000 +00000011101010000000000010111010 +00000000001011101000000000001011 +10100000000000101100101000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000001 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100111110001000101100 +11000000000110110011000000000110 +11001100000000011011001100000000 +00101000110000010000101100110000 +00000010110011000000000110110011 +00000000001011001100000000001011 +00110000000000101100101000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10110111000000000010110111000000 +00001011011100000000001010011100 +00000000101101110100000000101101 +11000000000010110111000000000010 +11011100000000001011010100000000 +00101101110000001000101001110000 +00000010100111000000000010110111 +00000000001011011100000000001011 +01110000000000101110000000000100 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11110111100000000011110111100000 +00001111011110000001001111011110 +00000100101101111001000000101101 +11100000000011110111100000000011 +11011110000000001111010110000000 +00111101111000000000101101111000 +00110011110111100000010011110111 +10000010001111011110000000001111 +01111000000000111110001000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111011000000 +10001111101100000000001111101100 +00001000111110100110000000111110 +11000000000011111011000000000011 +11101100000010001111100100000001 +00111110110000001000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100001000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110010000001111111110 +01000001111111001001000000111111 +11100000000011111111100000000011 +11111110000000001111110110010000 +00111111111001000000101111111001 +00000001111111100000000011111111 +10000000001111111110000000001111 +11111000000000111100000000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000010001011011100 +00000000011101010000000000101101 +11000000000010110111000000000010 +11011100000000001011010100000110 +00101101110001000000100101110000 +00000000110111000000000010110111 +00000000001011011100000000001011 +01110000000000101110101000000110 +00100000000000000000000000000000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000001001011011100 +00000000001101100000000000101101 +11000000000010110111000000000010 +11011100000000001011010100000000 +01101101110000000001100101110000 +00000010110111000000000010110111 +00000000001011011100000000001011 +01110000000000101100000000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00100000000101001100110000000000 +10110011000000000010110011000000 +10001011001100000000001011001100 +00000000101000100000000000100100 +11000000000010110011000000000010 +11001100000000001011000100000000 +00101100110000000001100100110000 +00000010010011000000000010110011 +00000000001011001100000000001011 +00110000000000101100100000000001 +00010000000000000000000000000000 +00000000000000000000000000000000 +00101000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000001110110000000000111110 +11000000000011111011000000000011 +11101100000000001111100100000000 +00101110110000000000110110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111100101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000000000011111011000000 +01001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111100100000000 +00111110110000000000110110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110000000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00000001000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000001111111011001000000111111 +11000000000011111111000000000011 +11111100000000001111110110000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001011111100000000011111 +11110000001000111100100001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000001000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110000000000000101110 +11000000000010111011000001010010 +11101100000000001011100100000000 +00101110110000000000111010110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110100001000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110000000000000101110 +11000000000010111011000000000010 +11101100000000001011100100010000 +00101110110000000000101110110000 +00000010111011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100010000000000101100 +11000000000010110011000000000010 +11001100000000001011000100000000 +00101100110000000000101000110000 +00000010110011000000000010110011 +00000001001011001100000000001011 +00110000000100101100001000000101 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000011010110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000101110000000000000111110 +11000000000011111011000000000011 +11101100000001001111100100000000 +01111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001011 +10110000000000111100000000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00000111111100000000001111111100 +00000000111111000000000000111111 +11000000000011111111000000000011 +11111100000000001111110100000000 +00111111110000000000111011110000 +00000011111111000000000011111111 +00000000001111111100000001001111 +11110000000000111110100000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000110111110000 +01010011011111000001000011110111 +00000000001111111100000000001111 +11110000000000111111000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111011000001000010111011000000 +00001011101100000000001011101100 +00000000001110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000100100110110000 +00010010011011000000000010111011 +00000000001011101100000000001011 +10110000000000001110000000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000001100 +11000000000010110011000000000010 +11001100000000001011001100000000 +01101100110000000000100100110000 +00000010010011000000000010110011 +00000000001011001100000000001011 +00110000000000101110001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000100110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00101110110000000000100110110000 +00000010011011000000000010111011 +00000100000011101100000000000011 +10110000010000101111000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000101011110110000000000 +11111011000000000011111011000000 +01001111101100000010001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000110110110000 +00000011011011000000000011111011 +00000000001111101100000000001111 +10110000000000111100100000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100001000000011011110000000000 +11111111000000000011111111000000 +00001111111100000000001011111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110100001000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000000011111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111010110000010100111110110000 +00000011111011000000000011111011 +00000000001100101100000000001111 +10110000000000111101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000100100110110000000000101110 +11000000000010111011000000000010 +11101100000001001011101100000000 +00111010110000000000001111110000 +00000010111011000000000010111011 +10000100001000101100000100001011 +10110000000000101101001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101000110000010000001100110000 +00000000110011000000000010110011 +00010000001010001100000001001011 +00110000001000101100000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +01100000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000100110110111100000000010 +11011110000000001011011110000000 +00101001111000000000101101111000 +00000010110111100000100110110111 +10000000101010011110000000001011 +01111000000000101101100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +01001000000010000000110000000000 +11110011000000000011110011000000 +00001111001100000000001011001100 +00000000111100110000000000111100 +11000000000011110011000000000011 +11001100000000001111001100000000 +00111000110000000000111100110001 +00000011110011000000000011110011 +00000000001110001100000000001111 +00110000000000111101101000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00000111111100000000001111111100 +00000000110111110000000000111111 +11000000000011111111000000000001 +11111100000000001111111100000000 +00111111110000000000111111110000 +01000011111111000100000011111111 +00010000001101111100000000001111 +11110000000000111101000000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000001000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111011 +00000000001111101100000000001111 +10110000000000111110101000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000010000101101110010 +00000010010111000000000010110111 +00000000001011011100000000001011 +01110000000000101101001000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +11000001000000001001111000000000 +10110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000010000001101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101101111001 +00000010110111100000000010110111 +10000000001011011110000000001011 +01111000000000101111000001000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000100100 +11000000000010110011000000000010 +11001100000001001011001100000000 +00101100110000000000101100110000 +00000010010011000000000010110011 +00000000001011001100000000001011 +00110000000000101101001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000000011111010000000 +00001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011111010000000000011 +11101000000000001111101000000000 +00111110100000000000111110100000 +00000011111010000000000011111010 +00000000001111101000000000001111 +10100000000000111111101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100001000000 +00111110000000000000111110000000 +00000010111000000000000011111000 +01000000001111100000000000001111 +10000000000000111101001000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100010000 +00111110010000000000111110010000 +00000011111001000000010011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100101000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +01001000001011100100000000001011 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000000010111001 +00010000001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011001100000000 +00101100010000000000101100010010 +10000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000010 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111110111000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +10011000000111011110010000000000 +11111001000000000011111001000000 +00001011100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001011100100000000 +00111110010000000000111110010000 +00000011111001000000010011111001 +00000000001111100100000000001111 +10010000000000111110011000000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10011000000001011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111110100000000 +00111110010000000000111110010000 +00000011111001000000000011111101 +00000000001111100100000000001111 +10010000000000111110011000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111001000100001110000000000000 +10111000000000000010111000000000 +00001011100000000000001011100000 +00000000101110000000000000101110 +00000000000010111000000000000010 +11100000000000001011100000000000 +00101110000000000000101110000000 +00000010111000000000000010111000 +00000000001011100000000100001011 +10000000000000101100111001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011100010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011000100000000 +00101100010000000000101100010000 +00000010110001000000000010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011101100000000 +00101110010000000000101110010000 +01000010111001000000000010111001 +00000000001011100100000000001011 +10010000000000101100011000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000001000111110100000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100001000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00001000001111100100000000001111 +10010000000000111100101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000001111110 +00000000000011111000000000000011 +11100000000000001111100000010000 +00111110000000000000111110000000 +00000011001000000000000011111000 +00010000001100100000000000001111 +10000000000000111100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010000000000010111010000000 +00001011101000000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000000010 +11101000000000001011111000000000 +00101110100000000000101110100000 +00000011011010000000000010111110 +10000000101000101000000000001011 +10100000000000101100101000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001110000000 +00101100110000000000101100110000 +00000010000011000000000010110011 +00000000001000001100000000001011 +00110000000000101100101000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 +00101101110000000000101100111000 +00000010010111000000000010110101 +10000000001000011100000100001011 +01110000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11110111100000000011110111100000 +00001111011110000000001111011110 +00000000111101111000000000101101 +11100000000011110111100000000011 +11011110000000001111011110000000 +00111101111000000000111101111000 +00000011000111100000000011110111 +10000000001100011110000000001111 +01111000000000111100101000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011110001 +00000000001111101100000000001111 +10110000000000111100001000000010 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11111110000000001111010010000000 +00110011111000000000111111111000 +00000011111111100000000011111111 +10000000001111111110000000001111 +11111000000100111101000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011010000000000 +00110101110000000100101101110000 +00000010110111000000000010110111 +01000000001011011100000000001011 +01110000000000101110101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00011000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011010000000000 +00100001110000000000101101110000 +00000010110111000000000010110100 +00000000001011011100010000001011 +01110000000000101100010010000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01100000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011000000000000 +00100100110000000000101100110000 +00000010110011000000000010110000 +00000100001011001100000000001011 +00110000000000101101100000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10101000000101011010110000000100 +11111011000000100011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00110010110000000000111110110000 +00000011111011000000000011111010 +00000000001111101100000000001111 +10110000000000111110101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10010000000000001110110000000000 +11111011000000010011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011111011000000000011111000 +01000000001111101100000000001111 +10110000000000111110010000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111110000000000 +00110011110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000001001111 +11110000010000111110000000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000001000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000010001011000011010000 +00101010110000000000101110110000 +00000010111011000000000010111001 +01000000001011101100000000001011 +10110000001000101110000101000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100000000 +00100010110000000000101110110000 +00000010111011000000000010111010 +00000000001011101100000000001011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000001 +00101000110000000000101100110000 +00000010110011000000000010110010 +00000000001011001100000000001011 +00110000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +10000000000011010110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111100000000000 +00110010110000000000111110110000 +00000011111011000000000011111000 +00000000001111101100000000001111 +10110000000000111110000000000010 +00010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111110000000000 +00111111110000000000111111110000 +00000011111111000000000011111100 +00000000001111111100000000001111 +11110000000000111110100000000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00011000111111110000000000111111 +11000000000011111111000000000011 +01111100000000001001111100000000 +00110111110000000000111111110000 +00000011111111000000000011111111 +00000000001111111100000000001111 +11110000000000111110000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111011000000000000111011000000 +00001011101100000100001011101100 +00000000101110110000000000001110 +11000000000010111011000000000011 +00101100000100001001101100000000 +00100010110000000000101110110000 +00100010011011000000000010111011 +00000000001011101100000000001011 +10110000000000101110000000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010110011000000 +00000011001100000000001011001100 +00010100101100110000000100001100 +11000001000010100011000000000010 +11001100000000001011001100000000 +00100100110000001001101100110000 +00000010110011000000000010110011 +00000000001011001100000010001011 +00110000000000101110001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000001000010111011000000 +01001011101100000000001011101100 +00000000101110110000000000100110 +11000001000010111011000000000010 +11101100000000001011101100000000 +00100010110000000000101110110000 +00000010111011000000000010111011 +01010000001011101100000000001011 +10110000010000101111000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000101011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000100111110110000010000111110 +11000000000011111011000000000011 +11101100000000001111001100000010 +00110110110000000000111110110000 +00000011111011000001000011111011 +10000000001111101100000000001111 +10110000000000111100000001000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100001000000011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000100111111 +11000000000011111111000000100011 +00111100000000001101111100000000 +00111111110000000000111111110000 +00000011011111000000000011111111 +10000000001111111100000000001111 +11110000000000111110100001000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000010001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110110000 +00000011011011000000000011111011 +00000010001111101100000000001111 +10110000000000111101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010110000010000 +10111011000000100010111011000000 +00001011101100000100001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011101100100000 +00101110110000000000111010110000 +00000010111011000000000010111011 +00000100001011101100000000011011 +10110000000000101101001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001111100000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100000000000001 +00010000000000000000000000000000 +00000000000000000000000000000000 +00100000000000010001111000000000 +00110111100000000010110111100000 +00001011011110000000001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101101111000000000101001111000 +00000010110111100000100010110111 +10000001001011011110000000001011 +01111000000000101100100000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000010000000110000000000 +11110011000000000011110011000000 +00001111001100010000001111001100 +00000000101100110001000000111100 +11000000000011110011000100000011 +11001100000000001111001100000000 +00111100110001000100111100110001 +00100011010011000100100011110011 +00000000000111001100010000000011 +00110000000000111100001000000110 +00010000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011010110000000000 +11111011000100000011111011000000 +10001111101100000000001111101100 +00000000111110110000010000101110 +11000000000011111011000001000011 +11101100000000001111101100000000 +00111110110000001000111110110000 +00000011111011000000000011111010 +00000000001111101100000000001111 +10110000001000111101000000010010 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11100000001011001011000000000011 +11101100000000001111101100000000 +00101110110000000000111110110000 +00000011111011000000000011110011 +10000001001100101100000000001111 +10110000001000111100001000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000111001110000000000111101 +11100000000010000111000000000010 +11011100000000001011011100000000 +00101101110000000000101101110000 +00000010110111000000000010110101 +00000000001000011100000000001011 +01110000000000101101001000100000 +01100000000000000000000000000000 +00000000000000000000000000000000 +11000001000000001001111000000000 +10110111100000000010110111100000 +00001011011110000001001011011110 +00000100101101111000010000100110 +11100000000010000111100000000010 +11011110000000001011011110000000 +00101101111000001000101101111000 +00000110110111100000000010111111 +10000000001000011110000000001011 +01111000000000101100100001010000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00010000101100110000000000101100 +11000000000010000011000000000010 +11001100000000001011001100000000 +01101100110000000000101100110000 +00000110110011000000000010110011 +00010000101000001100000000001011 +00110000001000101101101000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11111010000000010011111010000000 +10001111101000000000001111101000 +00000000111110100000000000111110 +10000000000011001010000000000011 +11101000000000001111101000000100 +00111110100000000000111110100000 +00000011111010000000000011111110 +01000000001100101000000000001111 +10100000000000111111101000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111010000000001000111010 +00000000000011111000000000000011 +11100000000010001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011111000 +10000000001111100000000000001111 +10000000000000111101001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11111001000001100010111001000000 +00001111100100000000001111100100 +00000000111110010000000000111111 +01000000000011111001000000000011 +11100100000000001011100100000000 +00111110010000000000111110010000 +00000011111001000000000011111001 +00000000001111100100000000001111 +10010000000000111100001000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000111110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000011101001000000100010111001 +00000000001011100100000100001011 +10010000000000101110000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10111001000000000010111001000000 +00001011100100000000001011100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100100000000 +00101110010000000000101110010000 +00000010111001000000010010111001 +00000100001011100100000000001011 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001001000010000001000 +10110001000000000010110001000000 +00011011000100000000001011000100 +00000000101100010000000000101000 +01000000000010110001000000000010 +11000100000010001011000100000000 +00101100010000000000101100010000 +00000010100001000000010010110001 +00000000001011000100000000001011 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011011110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000001110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000001111000000000000011111000 +00000010001111100000000010001111 +10000000000000111110111000000111 +01010000000000000000000000000000 +00000000000000000000000000000000 +00011000000110011110010000000000 +11111001000000000011111001000000 +00001111100100000100001111100100 +00000000111110010000000000111110 +01000000000011111001000001000011 +11100100000000001111100100000000 +00111110010000000000111110010000 +00000011101001000000000011111101 +00000100001111100100000000001111 +10010000000000111100111000100010 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000001011110010000000000 +11111001000000000011111101000000 +00001100100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11110100000000001100100100000000 +00111110010000001000111110010000 +00000011111001000000000011101001 +00000000001100100100000000001111 +10010000000000111100111000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +00111001000100001110000000000000 +10111000000000000010111000000000 +10001000100000000000001011100000 +00000001101110000000000000101110 +00000000000010111000000000000010 +11100000000000001000100000000000 +00101110000000000000001110000000 +00000010111000000000010010001000 +00000001001010100000000000001011 +10000000000000101100011001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011100010000000000 +10110001000000000010110001000000 +00101000000100000000001011000100 +00000000101100010000000000101100 +01000000100110110001000000010110 +11000100000000101000000100000000 +00101100010000000000101100010000 +00000010111001000000000010101001 +00000010001000000100000000001011 +00010000000000101101001000000001 +00100000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +00001000100100000000001011100100 +00000000100110010000000000101110 +01000001000010111001000000000010 +11100100000000001000100100000000 +00101110010000010000100110010000 +00000010110001000000000010001001 +00000100001010100100000000001011 +10010000000000001100011000000100 +00000000000000000000000000000000 +00000000000000000000000000000000 +10100000000101001010010000000000 +11111001000000000011111001000000 +00001100100100000010001111100100 +00000000101110010000000000111110 +01000000000011111001000000000011 +11100100000000001100100100000000 +00111110010000000000111110010000 +00000011111001000000000011101001 +11001000011100100100000000011111 +10010000000000111110100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000000001010010000000000 +11111001000000000011111001000000 +00001111100100000000001101100100 +00000100111110010000000100111110 +01000000000011111001000000000011 +11100100000000001111101100000000 +00111110010000000000111110010000 +00010011111101000000000111111001 +11000000001111100100000000001111 +10010000000000111101101000000100 +00000000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011101000000000000011 +10100000000000001110100000100000 +00111110000000000000111110000000 +00000011111000000000000011111000 +00000000001111100000000000001111 +10000000000000111100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001000010100000000000 +10111010000000000010110010000000 +00011011101000000000001011101000 +00000000101110100000000000101110 +10000000000010111010000000100010 +11001000000000001111101001000000 +00101110100000000000101110100000 +00000010111010000000000010110010 +00000000001011101000000000001011 +10100000000000101100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010100011000000000010 +10001100000000001011001110000000 +00101100110000000000101100110000 +00000010110011000000000010110011 +00000000001011001100000000001011 +00110000000000101100001000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00100000000000010001110000000100 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000100101101 +11000000010010110111000000000010 +11011100000001001011011100001000 +00101101110000000000101101110000 +00000010110111000000010010110111 +00000000001011011100000000001011 +01110000000000101100100000000100 +01000000000000000000000000000000 +00000000000000000000000000000000 +00101000000010000001111000000000 +11110111100000000011110111100000 +00001011011110000000001111011110 +00000000111101111000000000111101 +11100000000011100111100000000011 +10011110000001001110011110000000 +00111101111000000100111101111000 +00000011110111100000110011110111 +10000001001111011110000001001111 +01111000001000111100101000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +10111011000001000001111011000000 +00001111101100000010001111101100 +00010000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001110101101101010 +00111110110000000000101110110000 +00000011111011100000000011111011 +00000000001111101100000000001011 +10110000000000111100001000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +01000000111111111000000000111111 +11100000000011111111100000000011 +11111110000000001111110010010000 +00111111111001000000111111111000 +00110001111111100000100011111111 +10000000001111111110000010000111 +11111000000000111101100000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000100010110111000000 +00000011011100000001001011011100 +00000100101101110000000000001101 +11000000000010110111000000010010 +11011100000000001111011100000001 +00101101110000000000111101110000 +00000010010111000100000010110111 +00000000001011011100000000001001 +01110000000000101110101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00001000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011010010000110 +00101101110000000001101101110000 +00000010110111000000000010110111 +00010000001011011100000000001011 +01110000000000101100000000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01100000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00010000101100110000000000100100 +11000000000010110011000000000010 +11001100000000000000001100000001 +00101100110000000000101000110000 +00000000010011000001000010110011 +01000000000011001100000000001001 +00110000000000101101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001011101100 +00000000111110110000000000111110 +11000000000011111011000000000001 +11101100000000001011101100000000 +00111110110000000100001110110000 +00100001111011000000000011110011 +00010000001111101100000000001111 +10110000000000111100101000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000000000011111011000000 +01001111101100000001001111101100 +00000100111110110000000000111110 +11000000010011111011000000000011 +11101100000001001111100000000000 +00111110110000000000111110110000 +00000011011011000000000011011011 +10000000001111101100000000001101 +10110000000000111110100000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00000001000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000001000011 +11111100000000001111111100100000 +00111111110000010000111111110000 +00000011111111000000000011111111 +00000000001100111100000010001111 +11110000000000111100000001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000001000001000110110000001000 +10111011000000000010111011000001 +00001011101100000000001011101100 +00010000111110110000000000101110 +11000000000010111011000001000010 +11101100000100001011100001000001 +00101110110000000000111010110000 +01000010111011000000000010111011 +00000100001101101100000000001011 +10110000000000101110000001000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000000010110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000000001011100000001000 +00101110110000011000101110110000 +00000010111011000000000010111011 +00000000001000101100000000001011 +10110000000000101110000000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000000110000000000 +10110011000000000110110011000000 +00001011001100000000001011001100 +00000000101000110000000000101100 +11000000000010110011000000000110 +11001100000000001011000000000010 +00101100110000000000101000110000 +01100010110011000000000010111011 +00000001001001001100000000001011 +00110000000100101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000010000110110000000000 +11111011000000010011111011000000 +01001111101100000000011111101100 +00000000101110110000000000111110 +11000000000011111011000001010010 +11101100000000011111100000000000 +00111110110000000001111110110000 +00000011111011000000000011111011 +00000000001100101100000000001111 +10110000000000111100000000000010 +00010000000000000000000000000000 +00000000000000000000000000000000 +10100000000111011111110000011100 +11111111000000000011111111000001 +00000111111100000000001111111100 +00010000111111110000010000111111 +11000000100011111111000000000011 +11111100000000001111110000000010 +00011111110000000000111011110000 +00000011111111000000000011111111 +00000001001111111100000100001111 +11110000000000111110100000000110 +00110000000000000000000000000000 +00000000000000000000000000000000 +11000000000001011111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000001000011111111000000000011 +11111100000001001111110000000001 +00111111000000000000111111110000 +00100011111111000000000011111111 +00000000001111110000000000001111 +11110000000000111110000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000001000010 +11101100000000001011100000000000 +00101110110000000100101110110000 +00100010111011000000000010111011 +00000000001011100000000000001011 +10110000000000101110000000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110011000000000010110011000001 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000100001011000100000000 +00101100000000000000101100110000 +00000010110011000000000010110011 +00000000001011000000000000001011 +00110000000000101110001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +11000000000101011010110000000000 +10111011000000000010111011000000 +10001011101100000000001011101100 +00000000101110110000000000101110 +11000000000010111011000000000010 +11101100000001001011100100010000 +00101110110000000100101110110000 +00100010111011000000000010111011 +00000110001011100101000000001011 +10110000000000101111000000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00000000000101011110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000001001111100010000000 +00111110000010010000111110110000 +00000011111011000001000011111011 +00000000001111100001010000001111 +10110000000000111101000000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +10100001000000011011110000000000 +11111111000000000011111111000000 +00001111111100000001001111111100 +00000000111111110000001000111111 +11000000000011111111000001000011 +11111100000000001111110010000000 +00111111001001010100111111110000 +00000011111111000000000111111111 +00000000001111110010000000001111 +11110000000000111110100000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11111011000000000011111011000000 +00001111101100000100001111101100 +00000000111110110000000000111110 +11000000000011101011000000000011 +11101100000000001111101100010000 +00111110000000100000111110110010 +00000011111011000001000011111011 +00000000001111101000000001001111 +10110000010000111101000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000001010010110000000000 +10111011000000010010111011000000 +00001011101100000000001011101100 +00010000101110110000000000101110 +11000001000010111011000000010010 +11101100000100001011101111000000 +00101110000000010000101110111100 +00000010111011000101000010111011 +11010000001011101101000100001011 +10110000000000101101001000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00100000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010100011000000000010 +11001100000000001011001011001010 +00101100001101000000101100110100 +00000010110011000100000010110011 +01000100000011001100011000001011 +00110000000000101100100000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +01100000000000010001111000000000 +10110111100000000010110111100000 +00001011011110000100001011011110 +00000000101101111000000000101101 +11100000000010110111100000000010 +11011110000000001011011010000000 +00101101111000000000101101111000 +10000010110111100001000010110111 +10000000001011011110000000001011 +01111000000000101100100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +01001000000010000000110000000000 +11110011000000000111110011000100 +00001111001100000000001111001100 +00000000111100110000000000111100 +11000000000011100011000100000011 +11001100000001001111000001000000 +00111100000000000000111100110001 +01000011110011000000000011110011 +00011000001111000000000000001111 +00110000000000111100001000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000111011011110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111110000000000 +01111111110001000001111111110001 +01000011111111000100000011111111 +00000000001111110100010000001111 +11110000000000111101000000000010 +01100000000000000000000000000000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11001011000000000011111011000000 +00001111101100000000001111101100 +00001000110010110000000000111110 +11000000000011111011000000000011 +11101100000000001111001110000000 +00110000110000000000110010110000 +00000011110011000000000011001011 +00000000001111101100000000001100 +10110000000000111110101000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +01001000000100011001110000000010 +10000111000000000010110111000000 +00001011011100000000001011011100 +00000010000001110000000000101101 +11000000000010110111000000000010 +11011100000100001011011100000000 +00110101110000000000101001110000 +00000010110111000000001010000111 +00000000001011011100000000001000 +01110000000000101101001000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +11000001000000001001111000000000 +10000111100000000010110111100001 +10001011011110000000001011011110 +00001000000001111000000000101101 +11100000000010110111100000000010 +11011110000000001011111110000000 +00100001110100001000000101111000 +01000010111111100000000010010111 +10000000001011111010001000001000 +01111000000000101111000000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01001000000101001100110000001000 +10000011000000000010110011000000 +00001011001100000000001011001100 +00000000100000110000000000101100 +11000000000010110011000000010010 +11001100000100001011001110000000 +00100100110000000000100100110000 +00000010110011000000000010010011 +00000000001011001110000000101000 +00110000000000101101001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11001010000000000011111010000000 +00001111101000000000001111101000 +00000000110010100000000000111110 +10000000000011111010000000000011 +11101000000001001111111011100010 +00110011101100000000110110100000 +00000011110110000000000011011010 +00000000001111011000000000001100 +10100000000000111111101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00000000111110000000000000111110 +00000000000011111000000000000011 +11100000000100001111100000001000 +00111110000010010000111010000000 +00000011111000010000000011101000 +00000010011111100000000000001111 +10000000000000111101001000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00001000000100001110010000000010 +11001001000000000011111001000000 +00001111100100000000001111100100 +00000010110010010000000000111110 +01000000000011111001000000000011 +11100100000000001111100100000000 +00111110011000010000111110010000 +01100011111001000000001011001001 +10100000001111100100000000001100 +10010000000000111100001000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000001000100010000000000 +10001001000000000010111001000000 +00001011100100000000001011000100 +00000000100010010000000000101110 +01000000000010111001000000010010 +11100100000000001011100100000000 +00101110010001000000101110010000 +00000010111001101100010010001001 +10000000001011100110000000001010 +10010000000000101110000000000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00011000000001010010010000000000 +10001001000000000010111001000000 +00001011100100000000001010100100 +00000000100010010000000000101110 +01000000000010111001000000000010 +10100100000000001011100100001000 +00101010010000000000101110010000 +00000010111001000000000010001001 +00000000001011100100010100001000 +10010000000000101100011000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10000001000000000010110001000000 +00001011000100000000001011000100 +00000000100000010000000000101100 +01000000000010110001000001000010 +11000100000000001011000100000000 +00101100010000010000101100010000 +00000010111001000000000110000001 +00000000001011000100000000001010 +00010000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11001000000000000011111000000000 +00001111100000000000001110100000 +00000000110010000000000000111110 +00000000000011111000000000000011 +10100000000001001111100000000000 +00111110000000000000111110000000 +00000011111000000000000011001010 +00000000001111100000000000001100 +10000000000000111110111000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +10011000000111011100010000010000 +11111001000000000011111001000000 +00001111100100000000001111000100 +00010000111110010000000000111110 +01000000000011111001000000000011 +11100100000100001111110100000000 +00111111010000010000111110010000 +00010011111001000001000011111001 +00000000001011010100000000001111 +10010000000000111100011000000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +10011000000001011110010000010000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000000001111110100000000 +00110111010000000000110011010000 +00000011111101000000000011111101 +00000000001111110100000000001100 +10010000010000111100011000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +00111001000100001110000000000000 +10111000000000000010111000000000 +00001011100000000000000011100000 +00000000101110000000000000101110 +00000000000010111000000001000010 +11100000000000001011100000000000 +00101010000000000000100010000000 +00000010111000000000000010111000 +00000000001011100000000000101000 +10000000000000101100111000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +00001000000001011100010000000000 +10110001000000000010110001000000 +00001011000100000000001011000100 +00000000101100010000000000101100 +01000000000010110001000000000010 +11000100000000001011100100000000 +00101010010000000000100100010000 +00000010110001000000000010110001 +00000000001011100100000000001000 +00010000000000101100001000000001 +01110000000000000000000000000000 +00000000000000000000000000000000 +00011000000101011010010000000000 +10111001000000000010111001000000 +00001011100100000001001001100100 +00000000101110010000000000101110 +01000000000010111001000000000010 +11100100000000001011100101000000 +00101010110000000000100110010000 +00000010111001000000000010111001 +00000000001011101101100000001000 +10010000000000101100011000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 +11100100000001001111000110000001 +00110000010000000000110110010000 +00000011111001000000000001111001 +00000000001111100100000000001100 +10010000000000111110100000000100 +01110000000000000000000000000000 +00000000000000000000000000000000 +00101000000000011010010000000000 +11111001000000000011111001000000 +00001111100100000000001111100100 +00000000111110010000000100111110 +01000000000011111001000000000011 +11100100000100001111100101000000 +00110010010000100000111010010000 +10000011111001000000000011111001 +00000000001111100110000000001111 +10010000000000111100101000000000 +01100000000000000000000000000000 +00000000000000000000000000000000 +00101000000100001010000000011000 +11111000000000000011111000000000 +00001111100000000000001111100000 +00010000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000111110000000 +00000011111000001100000011111000 +00010000001111100001100000001111 +10000000000000111100101000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010011100000000000 +10111010000000000010111010000000 +00001011101000000000001011111000 +00000000101110100000000000101110 +10000000000010111010000000000010 +11101000000000001011111000100100 +00101111101000100100101111101000 +00000010111110000000000010111110 +00000000001011011010000000001011 +10100000000000101100101000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000100101100111100 +00000010110011110000000010110011 +00001000001011001111000000001011 +00110000000000101100101000000000 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000100001011011110000000 +00101101111000000000101101100000 +10000010110111000000000010110111 +00000000001011011000001000001011 +01110000000100101110100000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +10101000000010000001111000000000 +11110111100000000011110111100000 +00001111011110000000001111011110 +00000000111101111000000000111101 +11100000000011110111100000000011 +11011110000000001111011000000000 +00111101010000000000111101111000 +00000011110111100000000011110111 +10000001001111010110000000001111 +01111000000000111110101000000010 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +10111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000111110100000 +00000011111011000000000011111011 +00000000001111100000000000001111 +10110000000000111100001000000110 +01100000000000000000000000000000 +00000000000000000000000000000000 +01000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +11111110000000001111011110000000 +00110011101000000000110011011001 +00000011000111100000000011001111 +10000000001100111110000000001111 +11111000000000111100000000000000 +01110000000000000000000000000000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100010000001011010100000100 +00110101010000001000110101110000 +00000011010110010000000011010111 +01000000001101010000000000001011 +01110000000000111110101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +00010000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001011011100 +00000000101101110000000000101101 +11000000000010110111000000000010 +11011100000000001011011000000000 +00100101000001000000100101010000 +01000110000111000000000010010100 +00000000001000011100000000001011 +01110000000000101100000000000000 +00100000000000000000000000000000 +00000000000000000000000000000000 +01100000000101001100110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +11001100000000001011000100000000 +00100100010000000000100100110000 +00100010010010000000010010010010 +00000100001001000000100000001011 +00110000000000101100100000000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10101000000101011010110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111100100000000 +00110100110000100000110100110000 +00000011001011000000000111010011 +00000100011100101100000000001111 +10110000000000111100101000000100 +01100000000000000000000000000000 +00000000000000000000000000000000 +10010000000000001110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000001000011 +11101100000000001111101001000000 +00111110100100000000111110000100 +00000011111011010001000011111011 +01000000011111101100000000001111 +10110000000000111010000000000000 +00110000000000000000000000000000 +00000000000000000000000000000000 +10000000000100001111110000000000 +11111111000000000011111111000000 +00001111111100000000001111111100 +00011000111111110000000000111111 +11000000000011111111000000000011 +10111100000000001111110000000000 +00110111010000100000110011111000 +00000011001111100100000011001111 +00000001001100110100000010001100 +11110000000000111100000001000100 +00110000000000000000000000000000 +00000000000000000000000000000000 +10010001000001000110110000000000 +10111011000000000010111011000000 +00001011101100000000001011101100 +00000000101110110000000000111110 +11000000000010111011000000010010 +11101100000000001011001011010000 +00100010100100000000101010000010 +00010011100001010000000010101011 +11010000001010000101000000001010 +10110000000000101110000001000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +10000000000001010010110000000000 +10111011000000000010111011000000 +00011011101100000000001011101100 +00000000101110110000000000101110 +11000000000010101011000000000010 +11101100000001011011100101000010 +00100110100000000000100010000010 +00000010001001000000000010001011 +00000010001000101000000000001000 +10110000010000101110000000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10110011000000000010110011000000 +00001011001100000000001011001100 +00000000101100110000000000101000 +11000000000010110011000000000010 +11001100000000001011000000000001 +00100010000000010001101000000000 +00000010100001000000000010100000 +00000000001010000000000001001010 +00110000000000101100001000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +10000000000011010110110000000000 +11111011000000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000101110 +11000000000011101011000000000011 +10101100000001001111100000000000 +00110110000000000000110010000000 +00000011001010000000010011001001 +00000000001100101000000000001100 +10110000000000111100000000000011 +01010000000000000000000000000000 +00000000000000000000000000000000 +10100000000110011111110000000000 +11111111000000000011111111000000 +00001111111100000001001111111100 +00000000111111110000000000111111 +11000000000011111111000000000011 +11111100000000001111110000000000 +00111101000000000100111101000000 +00000011101100000000000011110100 +00000010001111110000000000001111 +11110000000000111110100000000110 +01110000000000000000000000000000 +00000000000000000000000000000000 +00000000110001010100000100000011 +01110001010000001101110000010000 +00110111000101000000010111000001 +00000001011100000100000011011100 +00010000011101110001010000001101 +11000101000001110111000101000001 +01011100010100000010011100010100 +00010101110000010000000101110000 +01000000010111000001000001010111 +00000100000011011100000000110001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000110001010100010000000101 +01110001000000010101110001000000 +01010111000100000001010111000100 +00000101011100010000010001011100 +01000000010101110001000000010101 +11000100000001010111000100000000 +01010100010000000101011100010000 +00010100100001000000010101110001 +00000001110111000100000001010111 +00010000000101011100000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +00000000100000000000001000000001 +00100000100000000100100000100000 +00010010000110000000010010000010 +00000001001000001000000001001000 +00100000000100100001100000000100 +10000110000000010010000110000000 +01001000011000000001001000001000 +00000100100000100000000100100000 +10000000010010000010000000010010 +00001000000001001000000000100000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000100001000000000000000001 +01100000000010000101100000000001 +00010110000000001001010110000000 +00000001011000000000110001011000 +00000000010101100000100010000101 +10000000001001010110000000001001 +01011000000000100000011000010000 +10000101100000000010010101100000 +00001000010110000000001000010110 +00000000000001011000000000110001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000110001010100100001000101 +01110010000000010101110010000100 +01010111001110010001010111001000 +01000101011100100001000001011100 +10000100010101110010000100010101 +11001000000000010111001010010001 +01011100100001000100011100101001 +00000101110010000100010101110010 +00010001010111001000000001010111 +00100001000101011100000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +00000000110001010000000001000000 +01100000000000000001100001000100 +00000110000000010000000110000000 +01000000011000000001000100011000 +00000100000001100000000100000001 +10000010010000000110000000000000 +00011000000001000000011000000000 +00000001100001000100000001100000 +00000000000110000000010000000110 +00000000000000011000000000110001 +01010000000000000000000000000000 +00000000000000000000000000000000 +00000000110001010100100000000100 +00100010000000010000100010000000 +01000010000100000001000010001000 +00000100001000000000000100001000 +10000000010000100010000000010000 +10001000000000000010001000000001 +00001000101000000100001000000100 +00000000100001000000010000100000 +00000001000010000000000001000010 +00100000000100001000000000110001 +00010000000000000000000000000000 +00000000000000000000000000000000 +00000000110001010100111100000101 +01000010100000010101000011100000 +01010100000010000000010100001010 +00000101010000001000000101010000 +11100000000101000010110000010101 +00001010000000010100001111000000 +01010000100000000100010000011000 +00010101000000100000000101000000 +11000000010100000010000001010100 +00111100010101010000000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +00000000100000000001111000000001 +00010011000000000101010111000000 +00010101011100000000010101011100 +00000001000001110000000001000001 +11100000000100000111000000000000 +01011100000000010101001110000000 +01000101111000000001010100110000 +00000101010111000000000101010011 +00000000010001011100000000010101 +00111000000001000100000000100001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000100001000000010000000000 +00010000000000000001000001000000 +00000100001100000100000100000000 +00000100000000000000000000000000 +01000000000001000000000000000000 +01000000000000000100000100000001 +00000100010000000000010000110000 +00000001000011000000000001000000 +00000001000001001000000000000100 +00010000000000000100000100110000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00000000110001010110000000000010 +00011000000010001000001000000000 +00100000100000001000100000100000 +00000010000010000000110110000010 +00000000001000001000000010001000 +01100000001000100000100000001000 +00000110000000100010000010000000 +10001000001000000011001000001000 +00001000100001100000001100100000 +10000000000010000100000100010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +00000000110001010001000000000001 +01100000000000010101100100000000 +00010110010000000010110110010000 +00000101001001000000000001001001 +00000000010101100100000000010001 +10010000000000010110000000000001 +01011001000000000101011000000000 +00101101100100000000011101100000 +00000001010110010000000001110110 +00000000000101011000000000110001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000110001010100000000000011 +01100000000000001101100000000001 +01110110001000000001110110000000 +00000100011000000000000100011000 +00000000001101100000000000001101 +10000000000001110110000000000000 +11011000000000000011011000100000 +00000101100010000000010101100000 +00000000010110001000000001010110 +00000000000111011000000000110001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000110001010100001000100100 +00110000100000010000110000100011 +01000011000010001001000011000010 +00100110001100001000100010001100 +00100010010000110000100010010000 +11000010000001000011000010001101 +00000100001000100100001100001000 +10010000110000100010010000110000 +10001001100011000010000001100011 +00001000100100001100000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +00000000100000000000000001000000 +00110000000000000000110000000100 +00000011000000010000000011000000 +01000000001100100001000000001100 +00000100000000110000000100000000 +11000000010000000011000000000000 +00001100000001000000001100000000 +00000000110000000100000000110010 +00000100000011000000010000000011 +00000000000000001100000000010000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00000000110001000000001000000001 +00110000100000000100110000100001 +01010011000011000000010011000010 +00000001001100101100000001001100 +00100000000100110000100000000100 +11000010000001010011000010000100 +01001100001000010001001100001100 +00010100110000110000000100110010 +11000000010011000011000000010011 +00001000000001001100000000100001 +01000000000000000000000000000000 +00000000000000000000000000000000 +00000000110001010100001000000101 +01100000100000010101100000100000 +00010110000011000001010110000010 +00000101011000001100000001001000 +00100000010101100000100000010101 +10000010000000010110000010000001 +01011000001000000100011000001100 +00010101100000110000000101100000 +11000001010111000011000001010110 +00001000000001011000000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +00000000110001000000001000000000 +00100000100000000000100000100000 +00000010000010000000000011000010 +00000000001000001000000000001000 +00100000000000100000100000000000 +10000010000000000000000010000000 +00001000001000000000001000001000 +00000000110000100000000000110000 +10000000000110000010000000000011 +00001000000000001000000000100001 +00010000000000000000000000000000 +00000000000000000000000000000000 +00000000110001010101001000000100 +01100000100000010001100100100000 +00000110010010000001000011010010 +00000100011001001000000000001001 +00100000010001100100100000010001 +10000010000000000110010010000001 +00011001001000000100011001001000 +00010000110100100000000000110100 +10000001000010000010000001000011 +01001000000000011000000000010001 +00010000000000000000000000000000 +00000000000000000000000000000000 +00000000110001010110000000000101 +01011000000000010101011000000000 +00010101100000000101010001100000 +00000001010110000000000001000010 +00000000010101011000000000010101 +01100000000000010101100000000101 +00010110000000000101010110000000 +00000000011000000000010100011000 +00000000010001100000000000010001 +10000000000101010100000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +00000000100000000000011000000001 +01000001100000000101000001100000 +00010100000110000000010100000110 +00000001010000011000000001010000 +01100000000101000001100000000101 +00000110000000010100000110000000 +00010000011000000001010000011000 +00000101000001100000000101000001 +10000000010100000110000000010100 +00011000000000010000000000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000110000000001001000000001 +00000000100001000100000100100000 +00010000010010000000010010010010 +00000101000001001000010101000001 +00100000000100000100100001000100 +00000010000000010000010010000000 +01000001001000000001000001001000 +00000100000100100000000100000100 +10000001010000000010000000010000 +01001000000001000000000000010000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000110001010100011000000011 +01010001100100001101010001100000 +00110101000110010000110101000110 +00000011010100011001000001010100 +01100000001101110001100100001101 +01000110010000110001000110010000 +11010100011001000011010100011001 +00001101010001100100001101010001 +10010000110101000110010000110101 +00011000000011010100000000110001 +01010000000000000000000000000000 +00000000000000000000000000000000 +00000000110001000100011000100101 +01110001100000010101110001100010 +00010111000110001001010111000110 +00100101011100011000101100011100 +01100010100101110001100010010101 +11000110000000010011000110001000 +01011100011000100001011100011000 +10011101110001100010001101110001 +10001001010111000110000001110111 +00011000100001011100000000010001 +01000000000000000000000000000000 +00000000000000000000000000000000 +00000000010001010100011000000011 +01110001100000001101110001100000 +00000111000110000000000111000110 +00000011011100011000000011011100 +01100000011101110001100000001001 +11000110000100110011000110000001 +11011100011000000111011100011000 +00000101110001100000010101110001 +10000001010111000110000000010111 +00011000000111011100000000010001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000010001010100011001000101 +01110001100000010101110001100100 +01010111000110010001000011000110 +01000101001100011001010000001000 +01100100010101110001100101010101 +11000110010001010011000110000001 +01001100011001000111011100011000 +00010001110001100100010001110001 +10000001000011000110010001000011 +00011000000101011100000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000001000000001 +00100000100000000100100000100000 +00010010000010000000010111000010 +00000001011000001000000001001000 +00100000000100100000100000000100 +10000010000000010010000010000000 +01011000001000000001001000001000 +00000100110000100000000100110000 +10000000000010000010000000010111 +00001000000001001000000000010000 +01000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000011000000001 +01100001100000000101100001100000 +00010110000110000101000110000110 +00000000011000011000000001001000 +01100000000101100001100000000101 +10000110000000010110000110000000 +01011000011000010001011000011000 +00010001100001100001000101100001 +10000001010010000110000001010110 +00011000000101011000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000010001010100000000000101 +01110000000000010101110000000000 +01010011000000000001010011000000 +00000101001100000000010001001100 +00000000000000110000000000010001 +11000000000001010101000000000100 +01011100000000000000011100000000 +00010101110000000000000100110000 +00000000010111000000000001010011 +00000000000101011100000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +00000000010001010100001000000000 +01100000100000000001100000100000 +00000010000011000000000010000010 +00000000001000001000000100001000 +00100000000000100000100000000001 +10000010000000000110000010000000 +00011000001000000000011000001000 +00000001100000100000000000100000 +10000000000110000010000000000010 +00001000000000011000000000010001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000010001010100001000000100 +00100000100000010000100000100000 +01000110000010000001000110001010 +00000100011000001000000100011000 +10100000000001100000100000010000 +00000010000001000010000010000000 +00001000001000000000001000001000 +00010000100000100000000001100000 +10000000000010000010000101000110 +00001000000100001000000000010001 +00010000000000000000000000000000 +00000000000000000000000000000000 +00000000010001010100001000000101 +01000000100000010101000000110000 +01010101000010000000010101000011 +00000101010100001100000101010100 +00110000010101010000100000010101 +00000010000001010100000010000001 +00010000001000000001010000001000 +00000101000000110000010101010000 +10000100010100000011000000010101 +00001000000001010000000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000001000000001 +01010000110000000101010000110000 +00010101000011000000010101001010 +00000001010100001000000001010100 +10100000000101010000100000000101 +01000011000000010101000010000000 +01010100001100000001010100001100 +00000101010000110000000101010000 +10000000010101000011000000010101 +00001000000001010100000000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000001000000100000000000 +01100010000000000001000000000000 +01000100000000000000000100000000 +00000000010000000000000000010000 +00000000000001000010000000000001 +10001000000000000100001000000000 +00010000100000000000010000100000 +00000001000000000000000001000000 +00000001000100000000000000000100 +00100000000000010000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000010001010100001000000010 +00000000100000001000000010100000 +00100000001010000000100000000010 +00000010000000001000000110000000 +00100000001000000000100000001000 +00000010000000100000000010000000 +10000000001000000010000000001000 +00001000000010100000001000000000 +10000000100000001010000000100000 +00001000000010000000000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +00000000010001010000000000001001 +01100000000000010101100000000000 +01010110000000000000110110000000 +00000001011000000000000101011000 +00000000000101100000000000000101 +10000000000001010110000000000010 +01011000000000000001011000000000 +00011101100000000000001101100000 +00000001010110000000000001110110 +00000000000101011000000000010001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000110001010100000000000111 +01100000000000001101100000000000 +00110110000000000000010111000000 +00000011011000000000000111001000 +00000000011101100000000000001101 +10000000000000100110000000000000 +11011000000000000010011000000000 +00010101110000000000010101110000 +00000000010010000000000000010111 +00000000000011011000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000100 +01110000000000010000110000000000 +01000011000000000000000110000000 +00000100001100000000000000001000 +00000000010000110000000000010000 +11000000000001000011000000000000 +00001100000000000100001100000000 +00010001100000000000011001100000 +00000001100010000000000001000110 +00000000000100001100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000010000000000 +00100100000000000000110001101000 +00000011010000000000000010000100 +01000000001101000000000000001000 +00000000000000110101000000000000 +11010100000000000011010000000000 +00001101011000000000001101000000 +00000000100101000000000000100100 +00000000000010010000000000000010 +00000000000000001100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000010000000001 +00110001010000000100110001010000 +00010011000101000001010011000110 +00000000001100010000000001001000 +01000000000100110001100000000100 +11000111000000010011000100000000 +01001100010100000001001100010100 +00000100110001010000000100110001 +00000000010010000101000001010011 +00010000010001001100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000010001100000001 +01101000110000010101101000110000 +01010110100011000001010110100011 +00000101011010001100000000011010 +00110000000101101000110000010101 +10100011000001010110100011000001 +01011010000100000101011010001100 +00010101101000110000010101101000 +11000001010110100011000001010110 +10001100000101011000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000010100000000 +00100100000000000000100011000000 +00000010011000000000000010000100 +00000000001001000000000000001000 +00000000000000100101000000000000 +10010100000000000010010000000000 +00000001000000000000001001000000 +00000000100111000000000000100110 +00000000000010011000000000000010 +00000000000000001000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000100000000000 +01100010000100010001100000000000 +01000110000000010001000110000000 +01000100011000000001000000011000 +00000100000001100010000000010001 +10001000000001000110001000010001 +00011000100000000100011000100001 +00010001100001000110010001100010 +00010001000110000000010001000110 +00100001000100011000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000001010101 +01010000000100010101010000000000 +01010101000000000000010101000000 +01000101010100000001000101010100 +00000100010101010000000100010101 +01000000010001010101000000010001 +01010100000000000100010100000000 +00010101010000000000000101010000 +00010000010101000000010000010101 +00000001000101010100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000100000100001 +01000010000000000101000010000010 +00010101001000011000010101001000 +00100001010100100000100001010100 +10000010000101010010000000000101 +00001000000000010100001000000000 +00010000100000000000010000100001 +10000101000010000010000101010010 +00001000010100001000001000010101 +00100000100001010000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000101000000001 +00000010100000000100000010100001 +00000000001010000000010000001010 +00000000000000101000000001000000 +10100001000100000010100000000100 +00001010000100010000001010000000 +01000000101000000001000000101000 +00000100000010100001000100000010 +10000001010000001010000000010000 +00101000000001000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000110000000011 +01010011000000001101010011000000 +00100001001100000000000000001100 +00000010000100110000010110000000 +11000000001001010011000000001101 +01001100000000110101001100000000 +01000100110000000011010100110000 +00001101010011000000001000010011 +00000000110101001100000000100000 +00110000100011010100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000100010000001 +01110010000000010101110010000000 +01010111001000000001100111001000 +00000101011100100000000001011100 +10000100000101110010000000010001 +11001000000001010111001000001001 +01001100100000000101011100100000 +00011001110010000000011001100010 +00000001000111001000000001110111 +00100000000001011100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000001100001000 +00001000000001000001000000110000 +10000000000001000000000100000010 +00001000000000000000000000000000 +00010000100000000000100000000001 +00000010000100000100100001000000 +00010000001100000000010010000100 +00000001000000110000000000001000 +00000000000000000000000100000000 +10000100001000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011111111111000 +01001000110001111101001111111111 +10000100100011111111110100111111 +00011000010010001100011000010010 +00111111100001000111110001011101 +00011111000111110100100011111111 +11010011111111111111010010001111 +11111101001111111111100001001000 +11000110000100100011000110000100 +10001111111000010000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011111111111011 +00001011001101111101001111111111 +10110000101101111111110100111110 +11011011000010110011011011000010 +11011111101100000111101101011101 +00011110110111110100101101111111 +11010011111111111111010010110111 +11111101001111111111101100001011 +00110110110000101100110110110000 +10110111111011000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011111111111100 +01001100110011111101001111111111 +11000100110011111111110100111111 +00111100010011001100111100010011 +00111111110001000111110011011101 +00011111001111110100110011111111 +11010011111111111111010011001111 +11111101001111111111110001001100 +11001111000100110011001111000100 +11001111111100010000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011101100011110 +01001110110111111001001000110111 +11100100100011011110000100100011 +00011110010010001100011000010010 +00110001111001000110110001011001 +00011011011111100100111011000111 +10010011101101111110010011101101 +11100001001000110111100001001110 +11000110000100100011011110000100 +11101100011110010000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000100000010 +01110000010000001101110000010000 +00100111000001000000100111000001 +00000010011100000100000010011100 +00010000001001110000010001010001 +11000001000000100111000001000000 +10011100000100000010011100000100 +00001001110000010000001001110000 +01000000100111000001000000100111 +00000100000010011100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000010000000101 +01110001000000010100110001000000 +01010111000100000001010111000100 +00000101011100010000000101011100 +01000000010101110001000000001101 +11000100000001010111000100000001 +01011100010000010101011100010000 +00010101110001000000010101110001 +00000001010111000100000001010111 +00010000000101011100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000001000000001 +00100000100000000000100000100000 +00010010000010000000010010000010 +00000001001000001000000001001000 +00100000000100100000100000000100 +10000010000000010010000010000000 +01001000001000000001001000001000 +00000100100000100000000100100000 +10000000010010000010000000010010 +00001000000001001000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01100000000000000001100000000000 +00000110000000000000000110000000 +00000000011000000000000000011000 +00000000000001100000000000000001 +10000000000000000110000000000000 +00011000000000000000011000000000 +00000001100000000000000001100000 +00000000000110000000000000000110 +00000000000000011000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000100000000100 +01110010000000010001110010000000 +01000111001000000001000111001000 +00000100011100100000000100011100 +10000000010001110010000000000001 +11001000000001000111001000000001 +00011100100000000100011100100000 +00010001110010000000010001110010 +00000001000111001000000001000111 +00100000000100011100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01100000000000000001100000000000 +00000110000000000000000110000000 +00000000011000000000000000011000 +00000000000001100000000000000001 +10000000000000000110000000000000 +00011000000000000000011000000000 +00000001100000000000000001100000 +00000000000110000000000000000110 +00000000000000011000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000100000000100 +00100010000000010000100010000000 +01000010001000000001000010001000 +00000100001000100000000100001000 +10000000010000100010000000000000 +10001000000001000010001000000001 +00001000100000000100001000100000 +00010000100010000000010000100010 +00000001000010001000000001000010 +00100000000100001000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000010101000000100 +01001010100000010001001010100000 +01000100101010000001000100101010 +00000100010010101000000100010010 +10100000010001001010100000000001 +00101010000001000100101010000001 +00010010101000000100010010101000 +00010001001010100000010001001010 +10000001000100101010000001000100 +10101000000100010000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000110000000000110000000000 +01010011000000000001010011000000 +00000101001100000000000101001100 +00000000010100110000000000010100 +11000000000001010011000000000001 +01001100000000000101001100000000 +00010100110000000000010100110000 +00000001010011000000000001010011 +00000000000101001100000000000101 +00110000000000010100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000110000000000000000000000 +01000000000000000001000000000000 +00000100000000000000000100000000 +00000000010000000000000000010000 +00000000000001000000000000000001 +00000000000000000100000000000000 +00010000000000010000010000000000 +00000001000000000000000001000000 +00000000000100000000000000000100 +00000000000000010000000000110000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000110000000100000000000010 +00000000000000001000000000000000 +00100000000000000000100000000000 +00000010000000000000000010000000 +00000000001000000000000000000000 +00000000000000100000000000000000 +10000000000000000010000000000000 +00001000000000000000001000000000 +00000000100000000000000000100000 +00000000000010000000000000110000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000110000000100000000000100 +01100000000000000001100000000000 +01000110000000000001000110000000 +00000100011000000000000100011000 +00000000010001100000000000011001 +10000000000001000110000000000001 +00011000000000000100011000000000 +00010001100000000000100001100000 +00000001000110000000000001000110 +00000000000100011000000000110000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00010000000000010100000000000010 +01100000000000001001100000000000 +00100110000000000000100110000000 +00000110011000000000000010011000 +00000000001001100000000000001001 +10000000000001100110000000000000 +10011000000000000100011000000000 +00001001100000000000001001100000 +00000000100110000000000001100110 +00000000000110011000001000000001 +00010000000000000000000000000000 +00000000000000000000000000000000 +01000000010001010100001000000100 +00110000100000010000110000100000 +01000011000010000001000011000010 +00000100001100001000010100001100 +00100000010000110000100000010000 +11000010000001000011000010000001 +00001100001000000010001100001000 +01010000110000100000010000110000 +10000001000011000010000001000011 +00001000000100001100000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +01000000000000000000000000000000 +00110000000000000000110000000000 +00000011000000000000000011000000 +00000000001100000000000000001100 +00000000000000110000000000000000 +11000000000000000011000000000000 +00001100000000000000001100000000 +00000000110000000000000000110000 +00000000000011000000000000000011 +00000000000000001100000000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000000000000001000000000 +00110000100000000000110000100000 +00000011000010000000000011000010 +00000000001100001000000000001100 +00100000000000110000100000000000 +11000010000000000011000010000000 +00001100001000000000001100001000 +00000000110000100000000000110000 +10000000000011000010000000000011 +00001000010000001100000000010000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000010001010100001000000100 +01100000100000010001100000100000 +01000110000010000001000110000010 +00000000011000001000000100011000 +00100000010001100000100000010001 +10000010000000000110000010000001 +00011000001000000000011000001000 +00010001100000100000010001100000 +10000001000110000010000000000110 +00001000000000011000000000000001 +01010000000000000000000000000000 +00000000000000000000000000000000 +01000000000000010100001000000000 +00100000100000000000100000100000 +00000010000010000000000010000010 +00000000001000001000000000001000 +00100000000000100000100000000000 +10000010000000000010000010000000 +00001000001000000000001000001000 +00000000100000100000000000100000 +10000000000010000010000000000010 +00001000000000001000000000000000 +01000000000000000000000000000000 +00000000000000000000000000000000 +01010000000000010100001000000100 +01100000100000010001100000100000 +01000110000010000001000110000010 +00000000011000001000000100011000 +00100000010001100000100000010001 +10000010000000000110000010000001 +00011000001000000000011000001000 +00010001100000100000010001100000 +10000001000110000010000000000110 +00001000000000011000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000010001010100000000000100 +01010000000000010001010000000000 +01000101000000000001000101000000 +00000100010100000000000100010100 +00000000010001010000000000010001 +01000000000001000101000000000001 +00010100000000000000010100000000 +00010001010000000000010001010000 +00000001000101000000000001000101 +00000000000100010100001000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +01001000000000000000011000000000 +01000001100000000001000001100000 +00000100000110000000000100000110 +00000000010000011000000000010000 +01100000000001000001100000000001 +00000110000000000100000110000000 +00010000011000000000010000011000 +00000001000001100000000001000001 +10000000000100000110000000000100 +00011000000000010000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01001000000001000000001000000001 +00000000100001000100000000100000 +00010000000010000000010000000010 +00000001000000001000000001000000 +00100000000100000000100001000100 +00000010000000010000000010000000 +01000000001000000101000000001000 +00000100000000100000000100000000 +10000100010000000010000000010000 +00001000000001000000000000010000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000010001010100011000000011 +01010001100000001101010001100000 +00110101000110000000110101000110 +00000011010100011000000011010100 +01100000001101010001100000001101 +01000110000000110101000110000000 +11010100011000000001010100011000 +00001101010001100000001101010001 +10000000110101000110000000110101 +00011000000011010100000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +00000000000000010000011000000100 +01110001100000010001110001100000 +10000111000110000001000111000110 +00000000011100011000000100011100 +01100000010001110001100000010001 +11000110000000000111000110000010 +00011100011000000100011100011000 +00010001110001100000010001110001 +10000001000111000110000010000111 +00011000000000011100000000010000 +01000000000000000000000000000000 +00000000000000000000000000000000 +01000000000000010100011000000010 +01110001100000001001110001100000 +00100111000110000000100111000110 +00000010011100011000000010011100 +01100000001001110001100000001001 +11000110000000100111000110000000 +10011100011000000000011100011000 +00001001110001100000001001110001 +10000000100111000110000000100111 +00011000000010011100000000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +01010000010001010100011000000101 +01110001100000010101110001100000 +01010111000110000001010111000110 +00000101011100011000000101011100 +01100000010101110001100000010101 +11000110000001010111000110000001 +01011100011000000001011100011000 +00010101110001100000010101110001 +10000001010111000110000001010111 +00011000000101011100001000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +01000000000000000000001000000001 +00100000100000000100100000100000 +00010010000010000000010010000010 +00000001001000001000000001001000 +00100000000100100000100000000100 +10000010000000010010000010000000 +01001000001000000001001000001000 +00000100100000100000000100100000 +10000000010010000010000000010010 +00001000000001001000000000000001 +00010000000000000000000000000000 +00000000000000000000000000000000 +01000000000000000000011000000000 +01100001100000000001100001100000 +00000110000110000000000110000110 +00000000011000011000000000011000 +01100000000001100001100000000001 +10000110000000000110000110000000 +00011000011000000000011000011000 +00000001100001100000000001100001 +10000000000110000110000000000110 +00011000000000011000000000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000010001010110000000000100 +01111000000000010001111000000000 +01000111100000000001000111100000 +00000100011110000000000100011110 +00000000010001111000000000010001 +11100000000001000111100000000001 +00011110000000000100011110000000 +00010001111000000000010001111000 +00000001000111100000000001000111 +10000000000100011100000000010000 +01010000000000000000000000000000 +00000000000000000000000000000000 +01000000000000010100001000000000 +01100000100000000001100000100000 +00000110000010000000000110000010 +00000000011000001000000000011000 +00100000000001100000100000000001 +10000010000000000110000010000000 +00011000001000000000011000001000 +00000001100000100000000001100000 +10000000000110000010000000000110 +00001000000000011000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000000010100001000000100 +00100000100000010000100000100000 +01000010000010000001000010000010 +00000100001000001000000100001000 +00100000010000100000100000010000 +10000010000001000010000010000001 +00001000001000000100001000001000 +00010000100000100000010000100000 +10000001000010000010000001000010 +00001000000100001000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000010001010100001000010100 +01000000100000010001000000100000 +01000100000010000001000100000010 +00000100010000001000000100010000 +00100000010001000000100000010001 +00000010000001000100000010000001 +00010000001000000100010000001000 +00010001000000100000010001000000 +10000001000100000010000001000100 +00001000000100010000000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +01000000010000000000001100000000 +01010000110000000001010000110000 +00000101000011000000000101000011 +00000000010100001100000000010100 +00110000000001010000110000000001 +01000011000000000101000011000000 +00010100001100000000010100001100 +00000001010000110000000001010000 +11000000000101000011000000000101 +00001100000000010100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000000000000100000000000 +01000010000000000001000010000000 +00000100001000000000000100001000 +00000000010000100000000000010000 +10000000000001000010000000000001 +00001000000000000100001000000000 +00010000100000000000010000100000 +00000001000010000000000001000010 +00000100000100001000000000000100 +00100000000000010000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000010001010100001000000010 +00000000100000001000000000100000 +00100000000010000000100000000010 +00000010000000001000000010000000 +00100000001000000000100000001000 +00000010000000100000000010000000 +10000000001000000000000000001000 +00001000000000100000001000000000 +10000000100000000010000000100000 +00001000000010000000000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +01000000000000010100000000000100 +01100000000000010001100000000000 +01000110000000000001000110000000 +00000100011000000000000100011000 +00000000010001100000000000010001 +10000000000001000110000000000001 +00011000000000000110011000000000 +00100001100000000000010001100000 +00000001000110000000000001000110 +00000000000100011000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000000010100000000000010 +01100000000000001001100000000000 +00100110000000000000100110000000 +00000010011000000000010110011000 +00000000001001100000000000001001 +10000000000000100110000000000000 +10011000000000000010011000000000 +01011001100000000000001001100000 +00000000100110000000000101100110 +00000000000010011000000000000001 +00010000000000000000000000000000 +00000000000000000000000000000000 +01000000010001010110000000000100 +00111000000000010000111000000001 +01000011100000000001000011100000 +00000100001110000000000100001110 +00000000010000111000000000010000 +11100000000101000011100000000001 +00001110000000000100001110000000 +01010000111000000000010000111000 +00000001000011100000000001000011 +10000000000100001100000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +01010000000000000000000100000000 +00110000010000000000110000010000 +00000011000001000000000011000001 +00000000001100000100000000001100 +00010000000000110000010000000000 +11000001000000000011000001000000 +00001100000100000000001100000100 +00000000110000010000000000110000 +01000000000011000001000000000011 +00000100000000001100000000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000000000000010100000000 +00110001010000000000110001010000 +00000011000101000000000011000101 +00000000001100010100000000001100 +01010000000000110001010000000000 +11000101000000000011000101000000 +00001100010100000000001100010100 +00010000110001010000000000110001 +01000000000011000101000001000011 +00010100000000001100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000010001010100001100000100 +01100000110000010001100000110000 +01000110000011000001000110000011 +00000100011000001100000000011000 +00110000010001100000110000010001 +10000011000001000110000011000001 +00011000001100000100011000001100 +00000001100000110000010001100000 +11000001000110000011000000000110 +00001100000100011000000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +01000000000000010100000000000000 +00100000000000000000100000000000 +00000010000000000000000010000000 +00000000001000000000000000001000 +00000000000000100000000000000000 +10000000000000000010000000000000 +00001000000000000000001000000000 +00000000100000000000000000100000 +00000000000010000000000000000010 +00000000000000001000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000000000010100100001000100 +01100010000100010001100010000100 +01000110001000010001000110001000 +01000100011000100001000000011000 +10000100010001100010000100010001 +10001000010001000110001000010001 +00011000100001000100011000100001 +00000001100010000100010001100010 +00010001000110001000010000000110 +00100001000100011000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000010001010100000001000100 +01010000000100010001010000000100 +01000101000000010001000101000000 +01000100010100000001000100010100 +00000100010001010000000100010001 +01000000010001000101000000010001 +00010100000001000100010100000001 +00000001010000000100010001010000 +00010001000101000000010000000101 +00000001000100010100000000010000 +01010000000000000000000000000000 +00000000000000000000000000000000 +01000000000000000000100000100000 +01000010000010000001000010000010 +00000100001000001000000100001000 +00100000010000100000100000010000 +10000010000001000010000010000001 +00001000001000000100001000001000 +00010000100000100000010000100000 +10000001000010000010000001000010 +00001000000100001000001000000100 +00100000100000010000000000000001 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000001000000101000000001 +00000010100000000100000010100000 +00010000001010000000010000001010 +00000001000000101000000001000000 +10100000000100000010100000000100 +00001010000000010000001010000000 +01000000101000000001000000101000 +00000100000010100000000100000010 +10000000010000001010000000010000 +00101000000001000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +01000000010001010100110100000011 +01010011010000001101010011010000 +00110101001101000000110101001101 +00000011010100110100000011010100 +11010000001101010011010000001101 +01001101000000110101001101000000 +11010100110100000011010100110100 +00001101010011010000001101010011 +01000000110101001101000000110101 +00110100000011010100000000010001 +01010000000000000000000000000000 +00000000000000000000000000000000 +01000000000000010000100000000100 +01110010000000010001110010000000 +01000111001000000001000111001000 +00000100011100100000000000011100 +10000000010001110010000000010001 +11001000000000000111001000000001 +00011100100000000100011100100000 +00010001110010000000010001110010 +00000001000111001000000001000111 +00100000000100011100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000010000000011000 +00001000000001100000001000000001 +10000000100000000110000000100000 +00011000000010000000011000000010 +00000001100000001000000001100000 +00100000000110000000100000000110 +00000010000000011000000010000000 +01100000001000000001100000001000 +00000110000000100000000110000000 +10000000011000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000010001100011000 +01001000110001100001001000110001 +10000100100011000110000100100011 +00011000010010001100011000010010 +00110001100001001000110001100001 +00100011000110000100100011000110 +00010010001100011000010010001100 +01100001001000110001100001001000 +11000110000100100011000110000100 +10001100011000010000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000010110011011011 +00001011001101101100001011001101 +10110000101100110110110000101100 +11011011000010110011011011000010 +11001101101100001011001101101100 +00101100110110110000101100110110 +11000010110011011011000010110011 +01101100001011001101101100001011 +00110110110000101100110110110000 +10110011011011000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011001100111100 +01001100110011110001001100110011 +11000100110011001111000100110011 +00111100010011001100111100010011 +00110011110001001100110011110001 +00110011001111000100110011001111 +00010011001100111100010011001100 +11110001001100110011110001001100 +11001111000100110011001111000100 +11001100111100010000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011101101111110 +01001110110111111001001110110111 +11100100111011011111100100111011 +01111110010011101101111110010011 +10110111111001001110110111111001 +00111011011111100100111011011111 +10010011101101111110010011101101 +11111001001110110111111001001110 +11011111100100111011011111100100 +11101101111110010000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000001001010000110 +00000100101000011000010100101000 +01000001000010100001100000000010 +10000110001011001010000100001101 +00101000010100000000101000010000 +10000010100000110001010010100001 +01000001001010000100001000001010 +00011000001000101000011000000100 +10100001100000010010100001000010 +00001010000100000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000101 +00001000000000010100110100000000 +01010010000000000001110000110000 +00000101000010000000000111001100 +00000000010100000000000000010100 +01001000000001000000001000000001 +01000001100000000110001100000000 +00010100000000000000010100100100 +00000001110000010000000001100001 +00000000000100000100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000001000010000101 +00000000001000010000110000001000 +01100011000000100001110010000000 +10000100001000000010000001001000 +00001000011100000000001000011000 +10111000110001000010001000100001 +11001000000010000101001100000010 +00011000100000001000011000010000 +00110001010010000000100001010010 +00000010000100001000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000111 +00001000000000011000000000000000 +01100010000000000001110010010000 +00000110000001000000000100000010 +00000000010100000000000000010000 +10010000000001000000100000010001 +11001001000000000100001100000000 +00011000100100000000011000010000 +00000001100010010000000001010000 +00000000000100001000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000010000010000010 +00000000001000000000001000001000 +00000000000000100000010000000000 +10000000001000000010000000001010 +00001000001100000000001000000000 +01000000100000000010010000100000 +00000010000010000000000100000010 +00001100110100001000000100010100 +00100000000010100000100000000000 +00000010000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000000001000000001 +00000000100000000000000000100000 +00010000000010000000100000000010 +00000010000100001000000000001000 +00100000000100000000100000000000 +10000011000000100000000010000100 +11000000001000000011000000001000 +00000000000000100000000000110000 +10000000010011000010000000000000 +00001000000000000000001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000000100010000000 +00000010001000000000001110001000 +00100000001000100000010000001000 +10000001001001100010000000001111 +10001000000100000010001000000000 +00011000110000010010111000100000 +11000000100010100011001000100010 +00000000000010001000000100111010 +00110000100011001000100000000000 +00100010000000001000001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000011100000000010 +00000010000000000000001010000000 +00000000001000000000010000011000 +00000000000001100000000000001100 +10000000001000000010000000000000 +00011000000000000010001000011000 +11000010100000000011000100100000 +00000000000010000000000100010010 +00000000000011011000000000000000 +00100000000000001000001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000000101010000100 +00110110101000010000110010101000 +01000010001010100001010000101010 +10000101000000101010000100001110 +10101000011000100010101000011000 +10001010100001100010001010100001 +10000001101010000111000000101010 +00011000000010101000010100000010 +10100001010010101010100001000000 +00101010000101000100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000001100000000101 +00000110000000010000100010000000 +01010001001000000001100000011000 +00000110001000100000000100001000 +10000000010100010010000000011100 +00001000000001100010001000000001 +01001000100000000100001100100000 +00010100001010000000010000100010 +00000001100000011000000001010000 +00100000000110001000001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000000000010000001 +00001000001000010000110000001000 +01100010000000100001010000000000 +10000101000101000010000100001100 +00001000010000100000001000010100 +10010000100001010010100000100001 +10000000000010000100001000000010 +00010000001000001000010100011000 +00100001010010000000100001100010 +00000010000101000000001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000100000011000000000100 +00000100000000011100110000000000 +01100010010000000001110011110000 +00000101000001000000000100001100 +00000000011100010100000000011000 +01010000000001110010010000000001 +10000101000000000111000001000000 +00010100111100000000011000100100 +00000001100011000000000001000010 +01000000000110001100001000000100 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000000000010000100 +00000000001000010000010000001000 +01010010000000100001010011000000 +10000100001110000010000100000110 +00001000011000000000001000000100 +01010000100001100001000000100001 +10001101000010000100000000000010 +00011000010000001000010000110000 +00100001000000100000100001010000 +00000010000100000100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000001000000000 +00010000100000000100100000100000 +01010011100010000001010000000010 +00000111000000001000000000001101 +00100000000100001000100000000100 +10000010000001000000000010000001 +10001010001000000011000010001000 +00010000110000100000011100100000 +10000000010000010010000000000010 +10001000000011001000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000001000010000000 +00101000001000001000101100001000 +01010011100000100001000010010000 +10000111001010000010000000001000 +00001000001100001000001000001000 +10010000100001010010100000100001 +01001101000010000011001010000010 +00010100100100001000010100001000 +00100000100010000000100000010001 +10000010000001000100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000100001001000000000000 +00101000000000001000110100000000 +00110001000000000000010011010000 +00000000001100000000000000001100 +00000000001100000000000000001000 +11010000000000010000100000000000 +00001000000000000000001000000000 +00000100010100000000001000011000 +00000000000010000000000000010000 +00000000000010000100000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000001000000000000 +00000000000000000000000000000000 +00000000000000000000000000010000 +00000000000000000000000000000000 +00000000000000000001000010000000 +00010000000000000000000000000000 +00000000000000000000000000000000 +00100000000100000000000000000000 +00000000000000000000000000000000 +10000000000000001000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00111100001111000011000010000000 +00000000100000000000000000000000 +00000000010000000111000000110000 +10000000000000001000000000000000 +00000000000100001100000000110000 +00110000100000000000000010000000 +00000000000000000011000010100000 +00010000001100001000000000000000 +10000000000000000000000000000000 +01100000000100000000111100001111 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000111111 +11000000000110010100000000110110 +00101101110000110101111010111111 +11011001100000000011111101000000 +00110000100110110110101011110001 +01100110011001100101100110011001 +00000000000111100000101101100000 +10100000111111111101100110000000 +00111111100000000000010111111000 +01010111010100110000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000010110 +10000000001101100000000000000100 +00010010100001000000001010000000 +00000000000000000010000010000000 +00000010100100101001000000000010 +10000000000000000000000000000000 +10000000000000001001010010000100 +00000010000000000000000000000000 +00000000100000000000011010000000 +00000010100101100000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000010000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +10000000000000000000000000000000 +00000000000000000000000000010000 +00000000100000000000000000000000 +00000000000000000000000000000000 +00010000000000001100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011111111111111 +11111111111111111100000000000000 +00000000000000000000000000011111 +11111111111111111111111111000000 +00000000000000000000000000000000 +00011111011111111111111111111111 +11000000000000000000000000000000 +00000000001111110111111010111111 +11111111110000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011111111111111 +11111111111111111100000000000000 +00000000000000000000000000111111 +11111111111111011111101111000000 +00000000000000000000000000000000 +00011111111110111111110111111111 +11000000000000000000000000000000 +00000000001110111111111111111111 +01111111110000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011111111111111 +11111111111111111100000000000000 +00000000000000000000000000111111 +11110111111111011111111111000000 +00000000000000000000000000000000 +00111111111111111111111011110111 +11000000000000000000000000000000 +00000000001110111011111110111111 +11111011110000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011111111111111 +11111111111111111100000000000000 +00000000000000000000000000111011 +11111111101011111011111111000000 +00000000000000000000000000000000 +00111111111101111111111111111111 +11000000000000000000000000000000 +00000000001111111111111011101111 +11011111110000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011111111111111 +11111111111111111100000000000000 +00000000000000000000000000110011 +11111111101110110111110111000000 +00000000000000000000000000000000 +00111111111111111011111101111111 +11000000000000000000000000000000 +00000000001111011111111110111111 +11111111110000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011111111111111 +11111111111111111100000000000000 +00000000000000000000000000111111 +01111111101011111111111111000000 +00000000000000000000000000000000 +00111011111111111010101111111111 +11000000000000000000000000000000 +00000000001111111111111111101111 +11111111110000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000001010000100 +00000100101000010000000000100000 +01000000000010100001000000000010 +10000100000001001000000100000000 +00100100010000000000101000010000 +00000010101001000000010010100001 +00001000001010000100001000001010 +00010000111100111000010000110100 +10101001000000000011100001000000 +00001010000100000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000100 +00001000000000010000000000000100 +01000000000000000001000000000000 +01000100000000000001000100000000 +00000100010000000000010000010000 +00000000000001000000000000000001 +00000100000000000100000100000000 +00010000100000000000010000111000 +00000001000000000000000001000000 +00000000000100000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000010000100 +00000100001000010000000000000100 +01000000000000100001000000000000 +10000100000011000010000100000000 +00000000010000000000001000010000 +00000000000001000000110000100001 +00001000000010000100001000100010 +00010000110000001000010000110100 +00100001000000000000100001000000 +00000010000100000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011000000000100 +00000100000000010000001000000100 +01000000000000000001000000110000 +00000100000001000001000100000010 +00000100010000000000000010010000 +00110000010001000000010000000001 +00001010000100100100001000000000 +10010000110000000000010000100100 +00000001000000100000000001000000 +00000000100100000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000010000010000001 +00000000001000000000101000000100 +00000000000000100000000010100000 +10000001000100000010000000001010 +00000000000000000000011000000000 +11100000100000000010000000000000 +00000010000110000000001100000010 +10000000100100001010001100010000 +00100000000010100000100000000000 +00000010000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000000001000000010 +00000000100000000000000000100100 +00000000000010000000000001000010 +01000010001000001000000000000000 +00100100000000000000100000000000 +11000010001000000000000010010000 +00000000001000000000001000001000 +00000000010000100000001100100000 +10000000000000000010000000000000 +00001000000000000100001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000001100010000001 +00000110001000000000101110000000 +00000000001000100000000010001000 +10000001000001100010000000001011 +10001000000000000010001000000000 +10001000100100000010011000000000 +00000011100010010000001100100010 +00000000100110001000001100000110 +00010000000010111001100000000000 +00100110000000001000001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000000100000000001 +00000110000000000000001010000100 +00000000001000000000000000101000 +00000001000101100001000000000000 +10000000000000000010000001000000 +01001000000000000000011000010000 +00000010100000000000000000100000 +00000000100010000000001100010110 +00000000000010101000000000000000 +00100000000000001000001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000000101011000100 +00000010101000010000100010100100 +01000010001010000001000000001010 +00000100001100101000000100001010 +10101000011000000010100000010000 +10101010100001010010001011100001 +00000100101010000100001100101010 +00010000100110111000010100100010 +10100001000011001010110001000011 +00101010000100000000001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000011100000000100 +00100010000100010000010010000000 +01000010001000010001000000111000 +00000100001100100001000100000100 +10000000010100000010000010010000 +01111000001001100010001000000001 +00001000100100000100001100100000 +00010000110010000000011000000010 +00000001000011001000000101000010 +00100000000100000000001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000010000010000100 +00010100000000010000100000001000 +01000011000000100001000010000000 +10000100001001000000000100001000 +00000000011000100000001000010000 +10000000100001010011010000000001 +00000000000010000100001000000110 +00010000110100001000010100100100 +00100001000010000000110001000011 +00000110000100000000001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000100000011000000000111 +00110100000100011100010000000000 +01110010010000010001000010100000 +00000111000110000001000111001100 +00000000010000101100000000011100 +01100000010001100010000000000001 +11001101000000100100000101000000 +00011100101100000000011000110000 +00000001110001010000010001110010 +00000000000111001100001000000100 +00000000000000000000000000000000 +00000000000000000000000000000000 +00001000000000000000000011000101 +00000000001000010000001000001000 +01000010100000100001010000100000 +10000101000000000010000000000101 +00000100010000001000001000010000 +00100000101001000000000000100000 +00000000000010000100001010000010 +10010000010000001010011100000000 +00100001000000000000111001000001 +01000010000100000000001000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000001000000010 +00010000100100000000010100100100 +00000001000010000000100000000010 +01000010000100001000000100001010 +00100100000000000000100001000000 +01000011000000000000010010000000 +00000110001000100000001101001000 +00000000110000100000001100110100 +10000000000001110010000100000010 +10001000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000010000001 +00101100001000000000100000001000 +00000010100000010000010010000000 +10000001001000000010000100000000 +00001000000000000100001000000000 +10000000100000000000010000000001 +00001010000010000000001001000010 +00000000100000001000001100100100 +00100000000010000000110000000010 +01000010000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000100001010000000000001 +00100100000000000000100000000000 +00000000000000000000000010000000 +00000001001001000000000100000100 +00000000000000000000000010000000 +10000000010000000000100000010000 +00000000000100000000000100000000 +00000000010100000000001100111000 +00000000000010000000010000000011 +00000000100000000000000000000100 +00100000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000001000000000000 +10000000000000000000000000000000 +00000000000000000000000000010000 +00100000000000000000000000000000 +00000000000000000000000000100000 +10010000000100000110000000000000 +00000000000000000000000000000000 +00100000000100000000000000010000 +01000000000000000000000000000000 +00000000001000001000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00111100001111000011000000010000 +10110000000000000000000000000000 +00010000000000000001000000110000 +00000000000100001100000000000000 +00000000000100000000000000010000 +00110000000000000001000000000000 +00000000000000000001000000000000 +00010000001100000010000010010000 +10000000000000000000000000010000 +00000000000100000000111100001111 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000100110 +01000000001001101000000000000000 +00011001100000000001100110011001 +10011001100110011001100101000000 +00011001100110011001100110011001 +10000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000001100110000000 +00011001000000000011111111011001 +10111111110110011000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000001000000000101000 +00011111100010000001011011000000 +00000000000000000000000000000000 +00101001001111111010100100111111 +10000000000000000000000000000000 +10000000001000010001011010100001 +00010110100000000000000000000000 +00000000100000000011111010010110 +10111110101111101000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000011000001 +01100010001000100111001000000000 +00000000000000000000000000000000 +10000100000000010000010000001000 +00000000000000000000000000000000 +00000000100101000011000101011000 +00110001010000000000000000000000 +00000000000000001000010010110001 +01000100100000010100000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011111111111111 +11111111111111111100000000000000 +00000000000000000000000000101111 +11110111111111101110111111000000 +00000000000000000000000000000000 +00001111110111111101111111010111 +11000000000000000000000000000000 +00000000001011111111111100111111 +11111111110000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011111111111111 +11111111111111111100000000000000 +00000000000000000000000000011111 +11011111110111101101111111000000 +00000000000000000000000000000000 +00001011111111111101001011111111 +11000000000000000000000000000000 +00000000000111111011111101001111 +11111111110000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011111111111111 +11111111111111111100000000000000 +00000000000000000000000000111111 +11111111111111111111111111000000 +00000000000000000000000000000000 +00111111111111111110111111111111 +11000000000000000000000000000000 +00000000001111111111111101111111 +10111111110000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011111111111111 +11111111111111111100000000000000 +00000000000000000000000000111111 +11111110001111110111111011000000 +00000000000000000000000000000000 +00011111111111010001111101111101 +11000000000000000000000000000000 +00000000001011111111111010111111 +11111110110000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011111111111111 +11111111111111111100000000000000 +00000000000000000000000000111111 +11110111011011111111111111000000 +00000000000000000000000000000000 +00111110111111110110111111111111 +11000000000000000000000000000000 +00000000001111111111111111111111 +11111111110000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000011111111111111 +11111111111111111100000000000000 +00000000000000000000000000111111 +11111111001011111011111110000000 +00000000000000000000000000000000 +00111111111111110010111010111111 +10000000000000000000000000000000 +00000000001111101111111011110111 +01111111110000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00110000000000000010000000000001 +00000010000000000000000000000000 +00110000000000000100001100001100 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00110000000000000010000000000001 +00000010000000100000000000000000 +00110000000000000100001100000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00110000000000000000000000000001 +00000000000000000110001000101110 +00110000000000001000000000000001 +00000000000000000000000000000011 +00110000000000000100000000001100 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00110000000000001000000000000001 +00000000000000000000000000000101 +00110000000000001010000000000001 +00000000000000000000000000000000 +00110000000000000000000000000001 +00000000000000001110000101011010 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 diff --git a/tormenta2.ucf b/tormenta2.ucf new file mode 100755 index 0000000..2ec1fac --- /dev/null +++ b/tormenta2.ucf @@ -0,0 +1,194 @@ +#### UCF file created by Project Navigator +NET "addr<10>" LOC = "P101"; +NET "addr<11>" LOC = "P102"; +NET "addr<2>" LOC = "P90"; +NET "addr<3>" LOC = "P94"; +NET "addr<4>" LOC = "P95"; +NET "addr<5>" LOC = "P96"; +NET "addr<6>" LOC = "P97"; +NET "addr<7>" LOC = "P98"; +NET "addr<8>" LOC = "P99"; +NET "addr<9>" LOC = "P100"; +NET "be<0>" LOC = "P109"; +NET "be<1>" LOC = "P110"; +NET "be<2>" LOC = "P111"; +NET "be<3>" LOC = "P112"; +NET "clk8192" LOC = "P77"; +NET "cs<1>" LOC = "P120"; +NET "cs<2>" LOC = "P121"; +NET "cs<3>" LOC = "P122"; +NET "cs<4>" LOC = "P123"; +NET "d<0>" LOC = "P153"; +NET "d<10>" LOC = "P59"; +NET "d<11>" LOC = "P60"; +NET "d<12>" LOC = "P61"; +NET "d<13>" LOC = "P62"; +NET "d<14>" LOC = "P63"; +NET "d<15>" LOC = "P67"; +NET "d<16>" LOC = "P68"; +NET "d<17>" LOC = "P69"; +NET "d<18>" LOC = "P70"; +NET "d<19>" LOC = "P71"; +NET "d<1>" LOC = "P146"; +NET "d<20>" LOC = "P73"; +NET "d<21>" LOC = "P74"; +NET "d<22>" LOC = "P75"; +NET "d<23>" LOC = "P150"; +NET "d<24>" LOC = "P81"; +NET "d<25>" LOC = "P82"; +NET "d<26>" LOC = "P83"; +NET "d<27>" LOC = "P84"; +NET "d<28>" LOC = "P86"; +NET "d<29>" LOC = "P87"; +NET "d<2>" LOC = "P142"; +NET "d<30>" LOC = "P88"; +NET "d<31>" LOC = "P89"; +NET "d<3>" LOC = "P135"; +NET "d<4>" LOC = "P126"; +NET "d<5>" LOC = "P119"; +NET "d<6>" LOC = "P115"; +NET "d<7>" LOC = "P108"; +NET "d<8>" LOC = "P57"; +NET "d<9>" LOC = "P58"; +NET "dint" LOC = "P148"; +NET "drd" LOC = "P127"; +NET "dwr" LOC = "P125"; +NET "int" LOC = "P114"; +NET "khz8000" LOC = "P149"; +NET "rclk<0>" LOC = "P136"; +NET "rclk<1>" LOC = "P138"; +NET "rclk<2>" LOC = "P139"; +NET "rclk<3>" LOC = "P140"; +NET "rclk<4>" LOC = "P141"; +NET "rclko" LOC = "P147"; +NET "rd" LOC = "P113"; +NET "ready" LOC = "P154"; +NET "rser" LOC = "P133"; +NET "rsync" LOC = "P129"; +NET "tssync" LOC = "P132"; +NET "tser" LOC = "P134"; +NET "wr" LOC = "P160"; +NET "addr<2>" IOSTANDARD = LVTTL; +NET "addr<3>" IOSTANDARD = LVTTL; +NET "addr<4>" IOSTANDARD = LVTTL; +NET "addr<5>" IOSTANDARD = LVTTL; +NET "addr<6>" IOSTANDARD = LVTTL; +NET "addr<7>" IOSTANDARD = LVTTL; +NET "addr<8>" IOSTANDARD = LVTTL; +NET "addr<9>" IOSTANDARD = LVTTL; +NET "addr<10>" IOSTANDARD = LVTTL; +NET "addr<11>" IOSTANDARD = LVTTL; +NET "be<0>" IOSTANDARD = LVTTL; +NET "be<1>" IOSTANDARD = LVTTL; +NET "be<2>" IOSTANDARD = LVTTL; +NET "be<3>" IOSTANDARD = LVTTL; +NET "clk8192" IOSTANDARD = LVTTL; +NET "cs<1>" IOSTANDARD = LVTTL; +NET "cs<2>" IOSTANDARD = LVTTL; +NET "cs<3>" IOSTANDARD = LVTTL; +NET "cs<4>" IOSTANDARD = LVTTL; +NET "d<0>" IOSTANDARD = LVTTL; +NET "d<1>" IOSTANDARD = LVTTL; +NET "d<2>" IOSTANDARD = LVTTL; +NET "d<3>" IOSTANDARD = LVTTL; +NET "d<4>" IOSTANDARD = LVTTL; +NET "d<5>" IOSTANDARD = LVTTL; +NET "d<6>" IOSTANDARD = LVTTL; +NET "d<7>" IOSTANDARD = LVTTL; +NET "d<8>" IOSTANDARD = LVTTL; +NET "d<9>" IOSTANDARD = LVTTL; +NET "d<10>" IOSTANDARD = LVTTL; +NET "d<11>" IOSTANDARD = LVTTL; +NET "d<12>" IOSTANDARD = LVTTL; +NET "d<13>" IOSTANDARD = LVTTL; +NET "d<14>" IOSTANDARD = LVTTL; +NET "d<15>" IOSTANDARD = LVTTL; +NET "d<16>" IOSTANDARD = LVTTL; +NET "d<17>" IOSTANDARD = LVTTL; +NET "d<18>" IOSTANDARD = LVTTL; +NET "d<19>" IOSTANDARD = LVTTL; +NET "d<20>" IOSTANDARD = LVTTL; +NET "d<21>" IOSTANDARD = LVTTL; +NET "d<22>" IOSTANDARD = LVTTL; +NET "d<23>" IOSTANDARD = LVTTL; +NET "d<24>" IOSTANDARD = LVTTL; +NET "d<25>" IOSTANDARD = LVTTL; +NET "d<26>" IOSTANDARD = LVTTL; +NET "d<27>" IOSTANDARD = LVTTL; +NET "d<28>" IOSTANDARD = LVTTL; +NET "d<29>" IOSTANDARD = LVTTL; +NET "d<30>" IOSTANDARD = LVTTL; +NET "d<31>" IOSTANDARD = LVTTL; +NET "dint" IOSTANDARD = LVTTL; +NET "drd" IOSTANDARD = LVTTL; +NET "dwr" IOSTANDARD = LVTTL; +NET "int" IOSTANDARD = LVTTL; +NET "khz8000" IOSTANDARD = LVTTL; +NET "rclk<0>" IOSTANDARD = LVTTL; +NET "rclk<1>" IOSTANDARD = LVTTL; +NET "rclk<2>" IOSTANDARD = LVTTL; +NET "rclk<3>" IOSTANDARD = LVTTL; +NET "rclk<4>" IOSTANDARD = LVTTL; +NET "rclko" IOSTANDARD = LVTTL; +NET "rd" IOSTANDARD = LVTTL; +NET "ready" IOSTANDARD = LVTTL; +NET "rser" IOSTANDARD = LVTTL; +NET "rsync" IOSTANDARD = LVTTL; +NET "tssync" IOSTANDARD = LVTTL; +NET "tser" IOSTANDARD = LVTTL; +NET "wr" IOSTANDARD = LVTTL; +NET "clk" LOC = "P80"; +NET "clk" IOSTANDARD = LVTTL; +NET "clk8192" IOSTANDARD = LVTTL; +NET "clk" IOSTANDARD = LVTTL; +NET "bterm" LOC = "P151"; +NET "master" LOC = "P175"; +NET "bterm" IOSTANDARD = LVTTL; +NET "clk" IOSTANDARD = LVTTL; +NET "clk8192" IOSTANDARD = LVTTL; +NET "leds<0>" IOSTANDARD = LVTTL; +NET "leds<1>" IOSTANDARD = LVTTL; +NET "leds<2>" IOSTANDARD = LVTTL; +NET "leds<3>" IOSTANDARD = LVTTL; +NET "leds<4>" IOSTANDARD = LVTTL; +NET "leds<5>" IOSTANDARD = LVTTL; +NET "leds<7>" IOSTANDARD = LVTTL; +NET "leds<6>" IOSTANDARD = LVTTL; +NET "master" IOSTANDARD = LVTTL; +NET "test1" IOSTANDARD = LVTTL; +NET "test2" IOSTANDARD = LVTTL; +NET "test3" IOSTANDARD = LVTTL; +NET "test4" IOSTANDARD = LVTTL; +NET "test1" LOC = "P203"; +NET "test2" LOC = "P204"; +NET "test3" LOC = "P205"; +NET "test4" LOC = "P206"; +NET "leds<0>" LOC = "P180"; +NET "leds<1>" LOC = "P179"; +NET "leds<2>" LOC = "P187"; +NET "leds<3>" LOC = "P181"; +NET "leds<4>" LOC = "P189"; +NET "leds<5>" LOC = "P188"; +NET "leds<6>" LOC = "P192"; +NET "leds<7>" LOC = "P191"; +NET "tssync" FAST; +NET "tser" FAST; +NET "rsync" FAST; +NET "boardid<0>" PULLUP; +NET "boardid<1>" PULLUP; +NET "boardid<2>" PULLUP; +NET "boardid<3>" PULLUP; +NET "boardid<0>" IOSTANDARD = LVTTL; +NET "boardid<1>" IOSTANDARD = LVTTL; +NET "boardid<2>" IOSTANDARD = LVTTL; +NET "boardid<3>" IOSTANDARD = LVTTL; +NET "boardid<0>" LOC = "P199"; +NET "boardid<1>" LOC = "P200"; +NET "boardid<2>" LOC = "P201"; +NET "boardid<3>" LOC = "P202"; +NET "xsyncin" IOSTANDARD = LVTTL; +NET "xsyncout" IOSTANDARD = LVTTL; +NET "xsyncin" LOC = "P176"; +NET "xsyncout" LOC = "P178"; +NET "clk" IOSTANDARD = LVTTL; +NET "clk8192" IOSTANDARD = LVTTL; diff --git a/tormenta2.vhd b/tormenta2.vhd new file mode 100755 index 0000000..19b23bb --- /dev/null +++ b/tormenta2.vhd @@ -0,0 +1,588 @@ +-- Tormenta2 -- PCI Telephony Interface Card -- VHDL for Xilinx Part +-- version 1.1, 10/22/2001. +-- Copyright (c) 2001, Jim Dixon. +-- +-- Jim Dixon +-- Mark Spencer +-- +-- This program is free software, and the design, schematics, layout, +-- and artwork for the hardware on which it runs is free, and all are +-- distributed under the terms of the GNU General Public License. +-- +-- Thanks to Mark and the gang at Linux Support Services for the contribution +-- of the initial buffering code. +-- +-- + +-- The A4 die of the Dallas 21Q352 chip has a bug in it (well, it has several actually, +-- but this is the one that effects us the most) where when you have it in IBO mode +-- (where all 4 framers are combined into 1 8.192 Mhz backplane), the receive data +-- comes out of the chip late. So late, in fact, that its an entire HALF clock cycle +-- off. So what we had to do is have a separate RSYSCLK signal (which was the TSYSCLK +-- signal inverted) and a separate RSYNC signal (which corresponds to the RSYSCLK inverted +-- signal as opposed to the TSYSCLK) that was 1/2 clock cycle early, so that the data comes +-- out at the correct time. + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +entity tormenta2 is + Port ( +-- GCK0 signal (Local bus clock) + CLK : in std_logic; +-- GCK1 signal 8.192 Mhz clock from mk1574 and drives SYSCLK's on Dallas chip + CLK8192 : in std_logic; +-- Tx Framing Pulse to Dallas chip + TSSYNC : out std_logic; +-- Rx Framing Pulse to Dallas chip + RSYNC : out std_logic; +-- 8 Khz square wave for input to mk1574 (RCLKO divided by 193) + KHZ8000 : out std_logic; +-- RSER from Dallas chip (received serial data) + RSER : in std_logic; +-- TSER to Dallas chip (transmitted serial data) + TSER : out std_logic; +-- RCLK output to Dallas chip (TCLK) (1.544 Mhz) + RCLKO : out std_logic; +-- RCLK 1-4 are RCLK inputs from SCT's, 0 is 1.544 Mhz oscillator + RCLK : in std_logic_vector(4 downto 0); +-- LCLK is tied to GCK0, so you dont specify it here. +-- CCLK, CPROGRAM, and CDONE are tied to dedicated pins, so you dont either. +-- Local bus Data Bus + D : inout std_logic_vector(31 downto 0); +-- Local bus Address Bus + ADDR : in std_logic_vector(11 downto 2); +-- Local bus Byte Enable lines (also BE0 is A0 and BE1 is A1 for 8 bit operations) + BE : in std_logic_vector(3 downto 0); +-- Local bus "WR" signal + WR : in std_logic; +-- Local bus "RD" signal + RD : in std_logic; +-- Local bus READY signal out (also Configuration BUSY signal) + READY : out std_logic; +-- Local bus INTerrupt signal + INT : out std_logic; +-- Chip selects for Dallas chip SCT's 1 thru 4 + CS : out std_logic_vector(4 downto 1); +-- Dallas chip WRite signal + DWR : out std_logic; +-- Dallas chip ReaD signal + DRD : out std_logic; +-- Dallas chip INTerrupt signal in + DINT : in std_logic; +-- LED's output + LEDS : out std_logic_vector(7 downto 0); +-- Board ID input + BOARDID : in std_logic_vector(3 downto 0); +-- TEST pins + TEST1 : inout std_logic; + TEST2 : inout std_logic; + TEST3 : inout std_logic; + TEST4 : inout std_logic; +-- BTERM output + BTERM : out std_logic; +-- MASTER output + MASTER : out std_logic; +-- XSYNCIN input + XSYNCIN: in std_logic; +-- XSYNCOUT output + XSYNCOUT: out std_logic); +end tormenta2; + +architecture behavioral of tormenta2 is + +component RAMB4_S1_S16 + port ( + ADDRA: IN std_logic_vector(11 downto 0); + ADDRB: IN std_logic_vector(7 downto 0); + DIA: IN std_logic_vector(0 downto 0); + DIB: IN std_logic_vector(15 downto 0); + WEA: IN std_logic; + WEB: IN std_logic; + CLKA: IN std_logic; + CLKB: IN std_logic; + RSTA: IN std_logic; + RSTB: IN std_logic; + ENA: IN std_logic; + ENB: IN std_logic; + DOA: OUT std_logic_vector(0 downto 0); + DOB: OUT std_logic_vector(15 downto 0)); +END component; + +-- Counter for wait state/Dallas generator +signal waitcnt : std_logic_vector(2 downto 0); +-- Global counter +signal counter: std_logic_vector(13 downto 0); +-- Local copy of Global counter +signal lcounter: std_logic_vector(13 downto 0); +-- Position in a given buffer +signal position: std_logic_vector(11 downto 0); +-- Latched buffer position +signal lposition: std_logic_vector(11 downto 0); +-- dbuf represents the buffer that is currently being +-- operated upon by the T1 part, while not dbuf represents +-- the buffer that the bus side is operating with +signal dbuf: std_logic; +-- Lathed dbuf signal +signal ldbuf: std_logic; +-- Which ram of the buffer we are currently operating with +-- (0 = top, 1 = bottom) +signal ramno: std_logic; +-- Latched ramno signal +signal lramno: std_logic; +-- Serial output from first upper 16-bit memory +signal txqt1out: std_logic; +-- Serial output from second upper 16-bit memory +signal txqt2out: std_logic; +-- Serial output from first lower 16-bit memory +signal txqb1out: std_logic; +-- Serial output from second lower 16-bit memory +signal txqb2out: std_logic; +-- Parallel output from first 32-bits of memory +signal rxq1out: std_logic_vector(31 downto 0); +-- Parallel output from second 32-bits of memory +signal rxq2out: std_logic_vector(31 downto 0); +-- Ground bus for unnecessary inputs +signal gndbus: std_logic_vector(15 downto 0); +-- RWR: Write enable for ram +signal RWR: std_logic; +-- RRD: Read enable for ram +signal RRD: std_logic; +-- Local version of 1.544 Mhz clock to be output +signal lclk: std_logic; +-- 8khz counter +signal cnt193: std_logic_vector(7 downto 0); +-- Which of the received clocks to propagate +signal clkreg: std_logic_vector(2 downto 0); +-- Control register +signal ctlreg: std_logic_vector(7 downto 0); +-- Status register +signal statreg: std_logic_vector(2 downto 0); +-- Signal actually driving Rx buffers (after Rxserial loopback mux) +signal xrser: std_logic; +-- Signal actually driven by Tx buffers (before Txserial loopback mux) +signal xtser: std_logic; + +-- Register definitions: + +-- Write: +-- 0xC00 -- clkreg (sync source) 0=free run, 1=span 1, 2=span 2, 3=span 3, 4=span 4, 5=external. +-- 0xC01 -- ctlreg as follows: +-- bit 0 - Interrupt Enable +-- bit 1 - Drives "TEST1" signal ("Interrupt" outbit) +-- bit 2 - Dallas Interrupt Enable (Allows DINT signal to drive INT) +-- bit 3 - Enable External Synronization Drive (MASTER signal). +-- bit 5 - Remote serial loopback (When set to 1, TSER is driven from RSER) +-- bit 6 - Local serial loopback (When set to 1, Rx buffers are driven from Tx buffers) +-- bit 7 - Interrupt Acknowledge (set to 1 to acknowledge interrupt) +-- 0xC02 -- LED register as follows: +-- bit 0 - Span 1 Green +-- bit 1 - Span 1 Red +-- bit 2 - Span 2 Green +-- bit 3 - Span 2 Red +-- bit 4 - Span 3 Green +-- bit 5 - Span 3 Red +-- bit 6 - Span 4 Green +-- bit 7 - Span 4 Red +-- NOTE: turning on both red and green yields yellow. +-- 0xC03 -- TEST2, writing to bit 0 drives TEST2 pin. +-- +-- Read: +-- 0xC00 -- statreg as follows: +-- bit 0 - Interrupt Enabled +-- bit 1 - Interrupt Active +-- bit 2 - Dallas Chip Interrupt Active +-- 0xC01 -- boardid as follows: +-- bits 0-3 Board ID bits 0-3 (from rotary dip switch) + + +begin + + -- Create statreg for user to be able to read + statreg(0) <= ctlreg(0); -- Interrupt enable status + statreg(2) <= not DINT; -- Dallas chip interrupt request + -- Tie INT signal to bit in statreg + INT <= statreg(1) or ((not DINT) and ctlreg(2)); + + MASTER <= ctlreg(3); -- Control Bit to enable External Sync Driver + + TEST1 <= ctlreg(1); -- Reflect "Interrupt" Outbit + TEST3 <= statreg(1); -- Reflect Interrupt Status + TEST4 <= RSER; + + BTERM <= '1'; -- Leave this not enabled for now. + + -- Which ram we read into is from the 5th LSB of the counter + ramno <= lcounter(4); + -- Which buffer we're using is the most significant + dbuf <= lcounter(13); + -- Our position is the bottom 4 bits, inverted, and then + -- the skip one, and then the next 8 bits. + position(3 downto 0) <= not lcounter(3 downto 0); + position(11 downto 4) <= lcounter(12 downto 5); + + gndbus <= "0000000000000000"; + + txqt1: RAMB4_S1_S16 port map ( + ADDRA => position, -- Where are we in transmission + ADDRB => ADDR(9 downto 2), -- Address into our 16-bit words + DIA(0) => '0', -- We never write from the serial side + DIB => D(31 downto 16), -- Top 16-bits of data bus + WEA => '0', -- Never write from serial side + WEB => not WR, -- Write when requested + CLKA => CLK8192, -- Clock output at 8.192 Mhz + CLKB => RWR, -- Clock input when asked to by PCI bus + ENA => '1', -- Always enable output + ENB => dbuf, -- Enable when dbuf is set. + DOA(0) => txqt1out, -- Serial output to be MUXed + RSTA => '0', -- No need for silly reset + RSTB => '0' + ); + + txqt2: RAMB4_S1_S16 port map ( + ADDRA => position, -- Where are we in transmission + ADDRB => ADDR(9 downto 2), -- Address into our 16-bit words + DIA(0) => '0', -- We never write from the serial side + DIB => D(31 downto 16), -- Top 16-bits of data bus + WEA => '0', -- Never write from serial side + WEB => not WR, -- Write when requested + CLKA => CLK8192, -- Clock output at 8.192 Mhz + CLKB => RWR, -- Clock input when asked to by PCI bus + ENA => '1', -- Always enable output + ENB => not dbuf, -- Take input from user when not in use. + DOA(0) => txqt2out, -- Serial output to be MUXed + RSTA => '0', -- No need for silly reset + RSTB => '0' + ); + + txqb1: RAMB4_S1_S16 port map ( + ADDRA => position, -- Where are we in transmission + ADDRB => ADDR(9 downto 2), -- Address into our 16-bit words + DIA(0) => '0', -- We never write from the serial side + DIB => D(15 downto 0), -- Top 16-bits of data bus + WEA => '0', -- Never write from serial side + WEB => not WR, -- Write when requested + CLKA => CLK8192, -- Clock output at 8.192 Mhz + CLKB => RWR, -- Clock input when asked to by PCI bus + ENA => '1', -- Always enable output + ENB => dbuf, -- Enable input when not in use + DOA(0) => txqb1out, -- Serial output to be MUXed + RSTA => '0', -- No need for silly reset + RSTB => '0' + ); + + txqb2: RAMB4_S1_S16 port map ( + ADDRA => position, -- Where are we in transmission + ADDRB => ADDR(9 downto 2), -- Address into our 16-bit words + DIA(0) => '0', -- We never write from the serial side + DIB => D(15 downto 0), -- Top 16-bits of data bus + WEA => '0', -- Never write from serial side + WEB => not WR, -- Write when requested + CLKA => CLK8192, -- Clock output at 8.192 Mhz + CLKB => RWR, -- Clock input when asked to by PCI bus + ENA => '1', -- Always enable output + ENB => not dbuf, -- Enable when dbuf is set. + DOA(0) => txqb2out, -- Serial output to be MUXed + RSTA => '0', -- No need for silly reset + RSTB => '0' + ); + + rxqt1: RAMB4_S1_S16 port map ( + ADDRA => lposition, -- Where to put the next sample + ADDRB => ADDR(9 downto 2), -- Addressable output + DIA(0) => XRSER, -- Input from serial from T1 + DIB => gndbus, -- Never input from bus + WEA => not lramno, -- Enable writing when we're in the top + WEB => '0', + CLKA => not CLK8192, -- Clock input from T1 + CLKB => RRD, -- Clock output from bus + ENA => not ldbuf, -- Enable when we're the selected buffer + ENB => '1', -- Always enable output (it gets MUXed) + DOB => rxq1out(31 downto 16), -- Data output to MUX + RSTA => '0', + RSTB => '0' + ); + + rxqt2: RAMB4_S1_S16 port map ( + ADDRA => lposition, -- Where to put the next sample + ADDRB => ADDR(9 downto 2), -- Addressable output + DIA(0) => XRSER, -- Input from serial from T1 + DIB => gndbus, -- Never input from bus + WEA => not lramno, -- Enable writing when we're in the top + WEB => '0', + CLKA => not CLK8192, -- Clock input from T1 + CLKB => RRD, -- Clock output from bus + ENA => ldbuf, -- Enable when we're the selected buffer + ENB => '1', -- Always enable output (it gets MUXed) + DOB => rxq2out(31 downto 16), -- Data output to MUX + RSTA => '0', + RSTB => '0' + ); + + rxqb1: RAMB4_S1_S16 port map ( + ADDRA => lposition, -- Where to put the next sample + ADDRB => ADDR(9 downto 2), -- Addressable output + DIA(0) => XRSER, -- Input from serial from T1 + DIB => gndbus, -- Never input from bus + WEA => lramno, -- Enable writing when we're in the top + WEB => '0', + CLKA => not CLK8192, -- Clock input from T1 + CLKB => RRD, -- Clock output from bus + ENA => not ldbuf, -- Enable when we're the selected buffer + ENB => '1', -- Always enable output (it gets MUXed) + DOB => rxq1out(15 downto 0), -- Data output to MUX + RSTA => '0', + RSTB => '0' + ); + + rxqb2: RAMB4_S1_S16 port map ( + ADDRA => lposition, -- Where to put the next sample + ADDRB => ADDR(9 downto 2), -- Addressable output + DIA(0) => XRSER, -- Input from serial from T1 + DIB => gndbus, -- Never input from bus + WEA => lramno, -- Enable writing when we're in the top + WEB => '0', + CLKA => not CLK8192, -- Clock input from T1 + CLKB => RRD, -- Clock output from bus + ENA => ldbuf, -- Enable when we're the selected buffer + ENB => '1', -- Always enable output (it gets MUXed) + DOB => rxq2out(15 downto 0), -- Data output to MUX + RSTA => '0', + RSTB => '0' + ); + + +clkdiv193: process(lclk) -- Divider from 1.544 Mhz to 8 Khz to drive MK1574 via KHZ8000 pin +begin + if (lclk'event and lclk = '1') then + cnt193 <= cnt193 + 1; + -- Go high after 96 samples and + -- low after 193 samples + if (cnt193 = "01100000") then + KHZ8000 <= '1'; + elsif (cnt193 = "11000000") then -- *YES* C0 hex *IS* the correct value. I even checked it on a freq. counter! + KHZ8000 <= '0'; + cnt193 <= "00000000"; + end if; + end if; +end process clkdiv193; + + +-- Serial transmit data (TSER) output mux (from RAM outputs) +txmux: process (txqt1out, txqt2out, txqb1out, txqb2out,dbuf,ramno,rser) +begin + if (dbuf = '0') then + if (ramno = '0') then + XTSER <= txqt1out; + else + XTSER <= txqb1out; + end if; + else + if (ramno = '0') then + XTSER <= txqt2out; + else + XTSER <= txqb2out; + end if; + end if; + if (ctlreg(5)='1') then -- If in remote serial loopback + TSER <= RSER; + else + TSER <= XTSER; + end if; +end process txmux; + +-- Stuff to do on rising edge of TSYSCLK +process(CLK8192,lcounter(12 downto 0),ctlreg(7)) +begin + -- Make sure we're on the rising edge + if (CLK8192'event and CLK8192 = '1') then + counter <= counter + 1; + -- We latch copies of ramno, dbuf, and position on this clock so that they + -- will be stable when the RX buffer stuff needs them on the other edge of the clock + lramno <= ramno; + ldbuf <= dbuf; + lposition <= position; + if (lcounter(9 downto 0)="0000000000") then -- Generate TSSYNC signal + TSSYNC <= '1'; + else + TSSYNC <= '0'; + end if; + -- If we are on an 8 sample boundary, and interrupts are enabled, + if (((lcounter(12 downto 0)="0000000000000") and (ctlreg(0)='1'))) then + statreg(1) <= '1'; + elsif (ctlreg(7)='1' or ctlreg(0)='0') then + statreg(1) <= '0'; -- If interrupt ack-ed + end if; + end if; +end process; + +-- Stuff to do on Falling edge of TSYSCLK +process(CLK8192,counter(9 downto 0)) +begin +if (CLK8192'event and CLK8192='0') then + lcounter <= counter; -- save local copy of counter + if (counter(9 downto 0)="0000000000") then + RSYNC <= '1'; -- Generate RSYNC pulse + else + RSYNC <= '0'; + end if; +end if; +end process; + +-- Handle Data input requests +rxdata: process (ADDR(11 downto 10), rxq1out, rxq2out, RD, dbuf, statreg) +begin + -- If in 32 bit space, Send data from the block we're not using + if (RD = '0' and ADDR(11) = '0') then + RRD <= '1'; -- Assert clock to output RAM + -- Mux DATA bus to proper RAMs + if (dbuf = '1') then + D <= rxq1out; + else + D <= rxq2out; + end if; + -- If in 8 bit space, return statreg + elsif ((RD='0') and (ADDR(11 downto 10)="11")) then + if (BE(1 downto 0) = "00") then -- if C00, return status + D(2 downto 0) <= statreg; + D(31 downto 3) <= "ZZZZZZZZZZZZZZZZZZZZZZZZ00000"; + else -- if C01, return board id + D(3 downto 0) <= NOT BOARDID; + D(31 downto 4) <= "ZZZZZZZZZZZZZZZZZZZZZZZZ0000"; + end if; + RRD <= '0'; + else -- If in outer space, Data bus should be tri-state + D <= "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ"; + RRD <= '0'; + end if; +end process rxdata; + +-- rx serial loopback mux +rxmux: process(rser,xtser) +begin + if (ctlreg(6)='1') then + XRSER <= XTSER; + else + XRSER <= RSER; + end if; +end process rxmux; + +-- Handle Writing of RAMs when in 32 bit space +txdecode: process (WR, CLK, BE, dbuf, D, ADDR) +begin + -- Make sure when we write to memory that we only + -- enable the clock on the actual RAM units if the + -- top bit of address is '0', and is a full 32 bit access. + if ((addr(11) = '0') and (BE="0000") and (WR='0') and (CLK='1')) then + RWR <= '1'; + else + RWR <= '0'; + end if; +end process txdecode; + +-- Select the proper output 1.544 Mhz clock +clkmux: process(clkreg, RCLK) +begin + if (clkreg = "001") then + lclk <= RCLK(1); + elsif (clkreg = "010") then + lclk <= RCLK(2); + elsif (clkreg = "011") then + lclk <= RCLK(3); + elsif (clkreg = "100") then + lclk <= RCLK(4); + elsif (clkreg = "101") then + lclk <= XSYNCIN; + else + lclk <= RCLK(0); + end if; + RCLKO <= lclk; + XSYNCOUT <= lclk; +end process clkmux; + +-- Stuff to do on positive edge of Local bus clock +process(CLK,ADDR(11 downto 10),RD,WR) +begin +if (CLK'event and CLK='1') then -- On positive transition of clock + if ((WR='0' or RD='0') and ADDR(11 downto 10)="10") then -- If in our address range + waitcnt <= waitcnt + 1; -- Bump state counter if in Dallas' address range + else + waitcnt <= "000"; -- Otherwise, leave reset + end if; + if (WR='0' and ADDR(11 downto 10)="11") then -- If to write to our configuration space + if (ADDR(7 downto 2)="000000") then + if (BE(1 downto 0)="11") then + TEST2 <= D(0); -- Write to TEST2 pin (0xC03) + elsif (BE(1 downto 0)="10") then + LEDS <= not D(7 downto 0); -- Write to the LED register (0xC02) + elsif (BE(1 downto 0)="01") then + ctlreg <= D(7 downto 0); -- Write to the ctlreg register (0xC01) + else + clkreg <= D(2 downto 0); -- Write to the clkreg register (0xC00) + end if; + end if; + end if; + if ((statreg(1)='0') and (ctlreg(7)='1')) then -- if interrupt acked and de-asserted, ack the ack + ctlreg(7) <= '0'; + end if; + if (ctlreg(0)='0') then -- if interrupts disabled, make sure ack is de-acked + ctlreg(7) <= '0'; + end if; +end if; +end process; + +-- Generate Dallas Read and Write Signals and Wait states +process(CLK,ADDR(11 downto 8),RD,WR,waitcnt) +begin +if ((WR='0' or RD='0') and ADDR(11 downto 10)="10") then -- If during valid read or write + -- Stuff for CS for Dallas Chips + if (ADDR(9 downto 8)="00") then + CS(4 downto 1) <= "1110"; -- Activate CS1 + end if; + if (ADDR(9 downto 8)="01") then + CS(4 downto 1) <= "1101"; -- Activate CS2 + end if; + if (ADDR(9 downto 8)="10") then + CS(4 downto 1) <= "1011"; -- Activate CS3 + end if; + if (ADDR(9 downto 8)="11") then + CS(4 downto 1) <= "0111"; -- Activate CS4 + end if; + if (waitcnt <= "100") then -- An intermediate cycle (before ready) + if (WR='0') then -- If a write cycle, output it + DWR <= '0'; + else + DWR <= '1'; + end if; + if (RD='0') then -- If a read cycle, output it + DRD <= '0'; + else + DRD <= '1'; + end if; + end if; + if ((waitcnt = "011") and (CLK='0')) then -- If were at 4, were ready, and this will be real one + READY <= '0'; + end if; + if (waitcnt > "100") then -- Count is greater then 4, time to reset everything + READY <= '1'; + DWR <= '1'; + DRD <= '1'; + end if; +else -- Not in read or write in the appropriate range, reset the stuff + READY <= '1'; + DWR <= '1'; + DRD <= '1'; + CS(4 downto 1) <= "1111"; -- No CS outputs +end if; +if (waitcnt="100" and CLK='1' and WR='0') then -- De-activate the DWR signal at the final half cycle + DWR <= '1'; + DWR <= '1'; +end if; +if ((WR='0' or RD='0') and ADDR(11 downto 10)/="10") then -- If during not valid read or write + READY <= '0'; -- Dont hang the bus for them +end if; +end process; + +end behavioral; diff --git a/ztcfg.c b/ztcfg.c new file mode 100755 index 0000000..7153ce2 --- /dev/null +++ b/ztcfg.c @@ -0,0 +1,620 @@ +/* + * Configuration program for Zapata Telephony Interface + * + * Written by Mark Spencer + * Based on previous works, designs, and architectures conceived and + * written by Jim Dixon . + * + * Copyright (C) 2001 Jim Dixon / Zapata Telephony. + * Copyright (C) 2001 Linux Support Services, Inc. + * + * All rights reserved. + * + * This program is free software; you can redistribute it and/or modify + * it under thet erms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. + * + * Primary Author: Mark Spencer + * + */ + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include "ztcfg.h" +#include "tonezone.h" +#include "zaptel.h" + +#define NUM_SPANS ZT_MAX_SPANS + +static int lineno=0; + +static FILE *cf; + +static char *filename=CONFIG_FILENAME; + +#define DEBUG_READER (1 << 0) +#define DEBUG_PARSER (1 << 1) +#define DEBUG_APPLY (1 << 2) +static int debug = 0; + +static int errcnt = 0; + +static int deftonezone = -1; + +static struct zt_lineconfig lc[ZT_MAX_SPANS]; + +static struct zt_chanconfig cc[ZT_MAX_CHANNELS]; + +static char *sig[ZT_MAX_CHANNELS]; /* Signalling */ + +static int slineno[ZT_MAX_CHANNELS]; /* Line number where signalling specified */ + +static int spans=0; + +static int fo_real = 1; + +static int verbose = 0; + +static int stopmode = 0; + +static char zonestoload[ZT_TONE_ZONE_MAX][10]; + +static int numzones = 0; + +static char *lbostr[] = { +"0 db (CSU)/0-133 feet (DSX-1)", +"133-266 feet (DSX-1)", +"266-399 feet (DSX-1)", +"399-533 feet (DSX-1)", +"533-655 feet (DSX-1)", +"-7.5db (CSU)", +"-15db (CSU)", +"-22.5db (CSU)" +}; + +static int error(char *fmt, ...) +{ + int res; + static int shown=0; + va_list ap; + if (!shown) { + fprintf(stderr, "Notice: Configuration file is %s\n", filename); + shown++; + } + res = fprintf(stderr, "line %d: ", lineno); + va_start(ap, fmt); + vfprintf(stderr, fmt, ap); + va_end(ap); + errcnt++; + return res; +} + +static void trim(char *buf) +{ + /* Trim off trailing spaces, tabs, etc */ + while(strlen(buf) && (buf[strlen(buf) -1] < 33)) + buf[strlen(buf) -1] = '\0'; +} + +static int parseargs(char *input, char *output[], int maxargs, char sep) +{ + char *c; + int pos=0; + c = input; + output[pos++] = c; + while(*c) { + while(*c && (*c != sep)) c++; + if (*c) { + *c = '\0'; + c++; + while(*c && (*c < 33)) c++; + if (*c) { + if (pos >= maxargs) + return -1; + output[pos] = c; + trim(output[pos]); + pos++; + output[pos] = NULL; + /* Return error if we have too many */ + } else + return pos; + } + } + return pos; +} + +int spanconfig(char *keyword, char *args) +{ + static char *realargs[5]; + int res; + int argc; + int span; + int timing; + argc = res = parseargs(args, realargs, 6, ','); + if ((res < 5) || (res > 6)) { + error("Incorrect number of arguments to 'span' (should be ,,,,[,yellow])\n"); + } + res = sscanf(realargs[0], "%i", &span); + if (res != 1) { + error("Span number should be a valid span number, not '%s'\n", realargs[0]); + return -1; + } + res = sscanf(realargs[1], "%i", &timing); + if ((res != 1) || (timing < 0) || (timing > 15)) { + error("Timing should be a number from 0 to 15, not '%s'\n", realargs[1]); + return -1; + } + res = sscanf(realargs[2], "%i", &lc[spans].lbo); + if (res != 1) { + error("Line build-out (LBO) should be a number from 0 to 7 (usually 0) not '%s'\n", realargs[2]); + return -1; + } + if ((lc[spans].lbo < 0) || (lc[spans].lbo > 7)) { + error("Line build-out should be in the range 0 to 7, not %d\n", lc[spans].lbo); + return -1; + } + if (!strcasecmp(realargs[3], "d4")) { + lc[spans].lineconfig |= ZT_CONFIG_D4; + lc[spans].lineconfig &= ~ZT_CONFIG_ESF; + } else if (!strcasecmp(realargs[3], "esf")) { + lc[spans].lineconfig |= ZT_CONFIG_ESF; + lc[spans].lineconfig &= ~ZT_CONFIG_D4; + } else { + error("Framing must be one of 'd4' or 'esf', not '%s'\n", realargs[3]); + return -1; + } + if (!strcasecmp(realargs[4], "ami")) { + lc[spans].lineconfig &= ~ZT_CONFIG_B8ZS; + lc[spans].lineconfig |= ZT_CONFIG_AMI; + } else if (!strcasecmp(realargs[4], "b8zs")) { + lc[spans].lineconfig |= ZT_CONFIG_B8ZS; + lc[spans].lineconfig &= ~ZT_CONFIG_AMI; + } else { + error("Coding must be one of 'ami' or 'b8zs', not '%s'\n", realargs[4]); + return -1; + } + if (argc > 5) { + if (!strcasecmp(realargs[5], "yellow")) + lc[spans].lineconfig |= ZT_CONFIG_NOTOPEN; + else { + error("Only valid fourth argument is 'yellow', not '%s'\n", realargs[5]); + return -1; + } + } + lc[spans].span = spans + 1; + lc[spans].sync = timing; + /* Valid span */ + spans++; + return 0; +} + +int apply_channels(int chans[], char *argstr) +{ + char *args[ZT_MAX_CHANNELS+1]; + char *range[3]; + int res,x, res2; + int chan; + int start, finish; + char argcopy[256]; + res = parseargs(argstr, args, ZT_MAX_CHANNELS, ','); + if (res < 0) + error("Too many arguments... Max is %d\n", ZT_MAX_CHANNELS); + for (x=0;x-.\n", args[x]); + return -1; + } + res2 =sscanf(range[0], "%i", &start); + if (res2 != 1) { + error("Syntax error. Start of range '%s' should be a number from 1 to %d\n", args[x], ZT_MAX_CHANNELS - 1); + return -1; + } else if ((start < 1) || (start >= ZT_MAX_CHANNELS)) { + error("Start of range '%s' must be between 1 and %d (not '%d')\n", args[x], ZT_MAX_CHANNELS - 1, start); + return -1; + } + res2 =sscanf(range[1], "%i", &finish); + if (res2 != 1) { + error("Syntax error. End of range '%s' should be a number from 1 to %d\n", args[x], ZT_MAX_CHANNELS - 1); + return -1; + } else if ((finish < 1) || (finish >= ZT_MAX_CHANNELS)) { + error("end of range '%s' must be between 1 and %d (not '%d')\n", args[x], ZT_MAX_CHANNELS - 1, finish); + return -1; + } + if (start > finish) { + error("Range '%s' should start before it ends\n", args[x]); + return -1; + } + for (x=start;x<=finish;x++) + chans[x]=1; + } else { + /* It's a single channel */ + res2 =sscanf(args[x], "%i", &chan); + if (res2 != 1) { + error("Syntax error. Channel should be a number from 1 to %d, not '%s'\n", ZT_MAX_CHANNELS - 1, args[x]); + return -1; + } else if ((chan < 1) || (chan >= ZT_MAX_CHANNELS)) { + error("Channel must be between 1 and %d (not '%d')\n", ZT_MAX_CHANNELS - 1, chan); + return -1; + } + chans[chan]=1; + } + } + return res; +} + +static int chanconfig(char *keyword, char *args) +{ + int chans[ZT_MAX_CHANNELS]; + int res; + int x; + int master=0; + bzero(chans, sizeof(chans)); + res = apply_channels(chans, args); + if (res <= 0) + return -1; + for (x=1;x= ZT_TONE_ZONE_MAX) { + error("Too many tone zones specified\n"); + return 0; + } + strncpy(zonestoload[numzones++], args, sizeof(zonestoload[0])); + return 0; +} + +static int defaultzone(char *keyword, char *args) +{ + struct tone_zone *z; + if (!(z = tone_zone_find(args))) { + error("No such tone zone known: %s\n", args); + return 0; + } + deftonezone = z->zone; + return 0; +} + +#if 0 +static int unimplemented(char *keyword, char *args) +{ + fprintf(stderr, "Warning: '%s' is not yet implemented\n", keyword); + return 0; +} +#endif + +static void printconfig() +{ + int x,y; + int ps; + int configs=0; + printf("\nZaptel Configuration\n" + "======================\n\n"); + for (x=0;x 1) { + printf("\nChannel map:\n\n"); + for (x=1;x -- Use instead of " CONFIG_FILENAME "\n" + " -h -- Generate this help statement\n" + " -v -- Verbose (more -v's means more verbose)\n" + " -t -- Test mode only, do not apply\n" + " -s -- Shutdown spans only\n" + ,c); + exit(exitcode); +} + +int main(int argc, char *argv[]) +{ + char c; + char *buf; + char *key, *value; + int x,found; + int fd; + while((c = getopt(argc, argv, "hc:vs")) != -1) { + switch(c) { + case 'c': + filename=optarg; + break; + case 'h': + usage(argv[0], 0); + break; + case '?': + usage(argv[0], 1); + break; + case 'v': + verbose++; + break; + case 't': + fo_real = 0; + break; + case 's': + stopmode = 1; + break; + default: + } + } + cf = fopen(filename, "r"); + if (cf) { + while((buf = readline())) { + if (debug & DEBUG_READER) + fprintf(stderr, "Line %d: %s\n", lineno, buf); + key = value = buf; + while(value && *value && (*value != '=')) value++; + if (value) + *value='\0'; + if (value) + value++; + while(value && *value && (*value < 33)) value++; + if (*value) { + trim(key); + if (debug & DEBUG_PARSER) + fprintf(stderr, "Keyword: [%s], Value: [%s]\n", key, value); + } else + error("Syntax error. Should be =\n"); + found=0; + for (x=0;x\n"); + fclose(cf); + } else { + error("Unable to open configuration file '%s'\n", filename); + } + + if (!errcnt) { + if (verbose) { + printconfig(); + } + if (fo_real) { + if (debug & DEBUG_APPLY) { + printf("About to open Master device\n"); + fflush(stdout); + } + fd = open(MASTER_DEVICE, O_RDWR); + if (fd < 0) + error("Unable to open master device '%s'\n", MASTER_DEVICE); + else { + if (stopmode) { + for (x=0;x -1) { + if (ioctl(fd, ZT_DEFAULTZONE, &deftonezone)) { + fprintf(stderr, "ZT_DEFAULTZONE failed: %s (%d)\n", strerror(errno), errno); + close(fd); + exit(1); + } + } + for (x=0;x