From 92e6d005b1107055cdd62f41fc06bda8e98b14b3 Mon Sep 17 00:00:00 2001 From: kpfleming Date: Wed, 31 Jan 2007 17:27:30 +0000 Subject: merge support for the Digium TC400B hardware transcoder git-svn-id: http://svn.digium.com/svn/zaptel/branches/1.2@2057 5390a7c7-147a-4af0-8ec9-7488f05a26cb --- hdlctest.c | 1 + 1 file changed, 1 insertion(+) (limited to 'hdlctest.c') diff --git a/hdlctest.c b/hdlctest.c index d69aaae..fd74bbb 100644 --- a/hdlctest.c +++ b/hdlctest.c @@ -2,6 +2,7 @@ #include #include #include +#include #include #include #include -- cgit v1.2.3