summaryrefslogtreecommitdiff
path: root/pciradio.rbt
diff options
context:
space:
mode:
authorjim <jim@5390a7c7-147a-4af0-8ec9-7488f05a26cb>2005-01-24 06:30:14 +0000
committerjim <jim@5390a7c7-147a-4af0-8ec9-7488f05a26cb>2005-01-24 06:30:14 +0000
commitb7abd42a7b1cb85eec8d6f5b367a64f5b175b59f (patch)
tree2960eadd3948dd8b8053bce26e5370668bfff9af /pciradio.rbt
parent285e2417b6283c0b31eb9151e1350c55bad244cb (diff)
Updated pciradio driver and VHDL to fix nasty bus access bug, and added
diagnostic (raddiag). git-svn-id: http://svn.digium.com/svn/zaptel/trunk@572 5390a7c7-147a-4af0-8ec9-7488f05a26cb
Diffstat (limited to 'pciradio.rbt')
-rwxr-xr-xpciradio.rbt11866
1 files changed, 5933 insertions, 5933 deletions
diff --git a/pciradio.rbt b/pciradio.rbt
index 2cfa6a2..9d9aec2 100755
--- a/pciradio.rbt
+++ b/pciradio.rbt
@@ -3,7 +3,7 @@ Created by Bitstream E.33
Design name: pciradio_xilinx.ncd
Architecture: spartan2
Part: 2s30vq100
-Date: Mon Dec 20 20:30:21 2004
+Date: Sun Jan 23 19:05:08 2005
Bits: 336768
11111111111111111111111111111111
10101010100110010101010101100110
@@ -87,5462 +87,5462 @@ Bits: 336768
00000000000000000000010000000000
00000000000000000000000000000000
11111111000110100000000000001010
-00000000000000101000000000000001
+00000000000001011000000000000000
11100000000000000111100000000000
-00011110000000000000001110000000
-00000000111000000000000001001000
+00011110000000000000011110000000
+00000001011000000000000001010000
00000000000101000000000000000101
00000000000000010100000000000000
01010000001111111100010000000000
00000000000000000000000000000000
-11000000010011011111010000010100
-11011111000001000011001111000000
-00011110111100100000001111111101
-10100000110011010000000000111011
-11100000000011111111100000000011
-11111110000000001111111100000000
-00110011000000000000110011100000
-00000011001100000000000001110000
-00000000000000000000000000000000
-10000000000000001110011000000000
-10001111011000000010001111110101
-00001011111111010000001011111101
-00000000100010110000000000101110
-11100000000010111011100000000010
-11101110000000001011101110000000
-00100010111000000100100010111000
+11000000000001011111111000000000
+11011111000000000011111111100000
+00001100111100000000001100111100
+00000000110011000010000000110011
+00100000000011111100100000000011
+00010110000000001100111010000000
+00110011100000000000110011110010
+10000011001100000000000001110000
+00000000000000000000000000000000
+10000000000100001110110000000000
+11001011110000000011111011100000
+00001100111101110000001000111111
+00000000100000000000000010100010
+00100001000010111000100000001010
+00101010000010001000101000000000
+00100010001000000000100010111100
00000011001000000000010000110000
00000000000000000000000000000000
-10001000000001011100010000000000
-10010011010010000010000011000000
-00001010001100000000001011001100
-10000000100000000000000000101100
-11000000000010110011000000000010
-11001100000000001011001100000000
-00100000110000000100100100010000
+10001000000001011100110010100000
+10010011010000000010110011000000
+00001000001100001000101000001101
+00000010100000000100000100100000
+00000000000010111010000000010010
+00100100000000011000101000000000
+00100000100000000100100000110000
00000010011000100000000101110000
00000000000000000000000000000000
-11000000000101011010010000000000
-10001011000000000000001011000000
-00011011101100000000001011101100
-00000010100010110000001000101110
-11000000000010111011000000000010
-11101100000010001011101100000000
-10100010110000000000100110010010
-00000010001100000000010001100000
+11000000000101011000110000000000
+10001011000000000010111010001000
+00001000001100000000001000101100
+00000010100000111000000000100010
+01010000000010111010000000100010
+00101110000000101000101000000000
+10100010010000100000100010110000
+10000010001100000000010001100000
00000000000000000000000000000000
-00000000000101011110010000000000
-11011011000000010001001011000000
-01001110101100000000001111101100
-00000000110010110100100000111110
-11000000000011111011000000000011
-11101100000000001111001100000000
-00110010000000000000110110100000
+00000000000101011110110000010000
+11011011000000000111111011110010
+00001100101100000000001100101100
+00000000110010001000000000110010
+00100100000011111000000000000011
+00100010000000001100101000000001
+00110000100100000000110010000100
00000011010000000000010001110000
00000000000000000000000000000000
-11100000000000010010011100100000
-11101011000000000011111111000000
-00001111111100000000001111011100
-00000000111111110100000000111111
-11000000000011111111000000000011
-11111100000000001111111100000000
-00111101110000000000111010111000
+11100000010000011011110000000000
+11111111000000010011101111000000
+00001111111100000000001111001100
+00000000111111010000000000111111
+00000000000011111100010000000011
+11111000000000001111111000000000
+00111111110000000000111110000000
00000011111110000000000001100000
00000000000000000000000000000000
-01000000000100001010110000010000
-11100011000000000011101011000000
-01001101101100000010001111101100
-00000000111010100010000000111110
-11000001000011111011000000000011
-11101100000000001111101100000000
-00111110110000000000110000010000
+01000000000100001010110000000000
+11101011000000000011111011011000
+00001101101100000000001111101100
+00000000110010000100000000110010
+10010000000011111010000000000011
+00100100000000101100101000100000
+00110010101100000000110010110100
00000011000100000000010000100000
00000000000000000000000000000000
-11001000010001010000111100000000
-10001111000000100010001111000000
-00001000111100000000001011111100
-00000000100010110000000000101110
-11000000000010111011000000000010
-11101100000000001011111100000000
-00101110110000010000100010011101
-00000010101100100000000001000000
-00000000000000000000000000000000
-11100000000001010100011001000000
-10110011000000100010100011000000
-00001001001100000000011011001100
-00000000101000000100000001101100
-11000000000010110011000000000010
-11001100000000001011001100000000
-00101100000000000010100000101100
-00000010101110000000000001010000
+11001000000001010010110000000000
+10001111000000000010110011100001
+01001000111100000000001011111110
+00000000101010110000000000101010
+00000001000010110010100010000011
+01001100000000001000001010001000
+00110110110000000000100000110111
+00000010001100100000000001000000
00000000000000000000000000000000
-00100000000000010001011001000000
-10000111100001000010100011100000
-00001000011110001000001011011110
-01000000000001011001000001101101
-11100000000010110111100000000010
-11011110000000001011011110000000
-00101100111000000000100001111000
-00000010100110000000000001000000
+11100000000001000100110000000000
+10100011000000100010110011010100
+00001001001100000001001011001110
+00000001101000000000000100100000
+00000000000010110000000010000010
+00000000000000001001001011000000
+00100000100000000000100000100100
+00000010001110000000000001010000
00000000000000000000000000000000
-01001000000000000000110000000000
-11100011000000011011100011000001
-00001101001100000000001111101100
-00000000111000000000000000111100
-11000000000011110011000000000011
-11001100010000001111001100000000
-00111100110000000000110000110000
-10000011000100100000001000000000
+00100000000000010001111000001000
+10000111100000000010111111100000
+00101000011110000000001011011110
+00000010101001001000000001101001
+00110100000010110100100000000010
+01111010000000001001011010000000
+00100101001000000000100001101000
+00000010000110000000000001000000
00000000000000000000000000000000
-01000000000101011011110000000000
-11111111110010000011011111000010
-00001110111100001000001111111100
-00110001111111010000000000110111
-11000000000011111111000000000011
-11111100000000001111111100000000
-00111111110000000000111111110001
-00000011010100000000011001100000
+01001000000010000110110000000000
+11100011000000000010110011000000
+00001101001100000000001011001100
+00100000110000010100000000110000
+11000110000011110010000100000011
+00000100010000001101001000000000
+00110000110010010000110000110000
+00001011000100100000001000000000
00000000000000000000000000000000
-10101000000001011110010000000100
-11101011010000010011011011101000
-00001110101100100000001110101100
-00000000110010101000000000111010
-11000000000011111011000000000011
-11101100000000001111101100000000
-00111110000000000000110110100000
+01000000000011011011110000000000
+11111111000100000011110111000000
+00011111111100001000001111111101
+00100001110111110000000000111111
+00000100000011110110000000000011
+11111100000000001110011000000000
+00111101010000000000111111110001
+00000011110100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000000010
+11001011100100010011001011000001
+00011111101101001000001111101100
+00000010110010110000000010110000
+00000000000011001000000000100011
+11101000000000001111001000000000
+00110010110000000000110010010000
00000011001010100000000001110000
00000000000000000000000000000000
-01001000000100011001010000000000
-11001111100000000010000111001100
-00001001011100110010001011011100
-01000000100001110000000000100001
-11000000000010110111000000000010
-11011100000000001011011100100000
-00101101110000001100100001110000
+01001000000000011011110000000000
+10000111000000000010000111000000
+00011110011100100000000011001100
+10000000100001010000000000110001
+00000000010010000100000100000010
+11011000000000001011011000000000
+00101000110000000000100001010000
00000010000100100000010001100000
00000000000000000000000000000000
-11000000000000001001111000000000
-10100111101000001010010011100000
-00001010011110000000001010001110
-10000000100000101000000000100001
-11100000000010110111100000000010
-11011110000000001011011110010000
-00101100111000000010100000111000
+11000000000100001001111000000000
+10000011101000000010000111110000
+10001010011110000010001011011110
+00000000100001111000100000101111
+00110000000010000110100000000010
+11011110000000011011011010000000
+00100001111000000000100000111000
00000010001100000000000000100000
00000000000000000000000000000000
-01001000000101001100110000000000
-10001011000000000010000011000000
-00001001001100000000001011001100
-00000000100000111000000000100000
-11000000000010110011000000000010
-11001100000000001011001100000000
-00101100110000000010100000110000
+01001000000101000110110000000000
+10000011000000000010000001101000
+00001010001100000000001011001100
+00000000100000111000000000101000
+00011001001010000000000100100010
+11001101000000011011001000000000
+00101000111000000000100000111111
00001010000100100000010000110000
00000000000000000000000000000000
-11101000000101011010101010000000
-11101010000000000011011010000000
-00001110101000000000001110101000
-00000000110011101010000000111010
-10000000100011111010000000000011
-11101000000000001111001000000000
-00111111100000000100110011100000
+11101000000101011010100000000000
+11001010000000001011001110000000
+00001110101000000000001111101000
+00000000100001100100000000111111
+10110000000011000010100000000011
+11111000100000001111111000000000
+00110011101110000010110011100100
00001011001110100000010001100000
00000000000000000000000000000000
-01001000000000001110000100000000
-11101000000000000011111000000000
-00001110000000000000001111000000
-00001010111110000000001010111110
+01001000000000001110000000000000
+11111000000000000011111000010000
+00001110100000000000001111000000
+00001010111110000100000000100110
00000000000011111000000000000011
-11100000000000001111100000000000
-00111100000000000000111110000000
-01000011110100100000000000110000
+11100000001000001111100000000000
+00111100000000100000111100000000
+00000011110100100000000000110000
00000000000000000000000000000000
-00001000000100001100010100000000
-11011001000000000011001001000000
-00001101100100000000001000100100
-00000000110010011000000000001110
-01000000000011111001000000000011
-11100100000000000101100100000000
-00110010010000000010110000010000
+00001000000100001110010000000000
+11001001000000000001011001110000
+00000000000100000000001100100110
+10000000110010011100100010110010
+01010000000011001011000000000011
+00100100000000001100100100010000
+00110010010000000000110010010000
00000011000000100000010000110000
00000000000000000000000000000000
10000000000001000110010000000000
-10001001000000001010001001000000
-00001001100110010000001010100100
-00000000100010011001000000101110
-01000000000010111001000000000010
-11100100000001001001100100000000
-00100010010100000000100010010100
+10001001000000000010110001001000
+00001010100100000010001000100110
+00000010100010010000000000100000
+01011001000010001001100000000010
+00000100000000001101100101000000
+10100010010000000010100010010000
00000010001000000000000000010000
00000000000000000000000000000000
-00011000000001010010010000100000
-10011001000000000010001001000000
-00011001100100000000001010100100
-00000010100010010000000000101110
-01000000100010111001000000000010
-11100100000000001001100100000000
-10100010010000100000100010010000
-10000010000001100000000001000000
+00011000000001010010010000000010
+10001001000000000010011001000000
+00001010100100000000101000100100
+00000000100010010000000000100010
+01000000000010001001001000101010
+00101100000000001000000101000000
+00100010010000000000100010010000
+00000010000001100000000001000000
00000000000000000000000000000000
-00001000000000001000010010100010
-10000001001010000010000001001010
-00011001000100101000001010000100
-10100000000000010010001000001100
-01000000000010110001000000000010
-11000100000000001001000100100000
-00100000010010000000100000010010
-00011000000000100000000100000000
-00000000000000000000000000000000
-10111000000011001110000010000000
-11011000001000000011001000001000
-00001101100000100000001110100000
-10000000010010000101000000011110
-00000000100011111000000000000011
-11100000000000001101100000000000
-00110010000000000000110010000000
-00000001001011100000001101010000
+00001000000001001000010000000000
+10000001001000000010111001000001
+00001010000100101000001000000100
+10100000000000110010000000100010
+01000001000010001001000000000010
+00100100000000001001000100000000
+00100000011010000000100000011010
+00000010000000100000000100000000
00000000000000000000000000000000
-10011000000011011101010000000000
-11111001001010000011111001000000
-00001111100100000000001111100100
-10100000111111010001000000111110
-01000000000011111001000000000011
-11100100000000001111100100010000
-00111111010001000100111111010001
+10111000000001010110000000000000
+11001000000000000011011000000000
+00001010100000100000001100100000
+10000000010000000101001100110010
+00000000000011001000000000000011
+00100000000000001100100001010000
+00110010000000000010110010000000
+00001011001011100000001101010000
+00000000000000000000000000000000
+10011000000101011110010010100100
+11111001000100000011111101000000
+00001111100100101000001111100100
+00000000111111110001000000111111
+01000000001011111001000000000011
+11110100000000001111100100000000
+00111111010001000000111111010001
00000011111001100000011001110000
00000000000000000000000000000000
-00011000000001011111011010000001
-11111001001010010011011001001000
-10011111110100000000001111100100
-00000000110011010001000000110010
-01000000000011111001000000000011
-11100100000000001111110100000000
-00111110010000000000110010010000
-10000011111001100000000001110000
+00011000000001011110010000000011
+11001001001010000011111101000000
+01011111100100000000001111110110
+10000000110011010010000010110011
+01000000000011111101000000000011
+00110100000000001100110100000000
+00110010010000000000111110010010
+00000011001001100000000001110000
00000000000000000000000000000000
-00111000000100001110000000001001
-10110000001000000010001000000100
-00011011100001000000001111100011
-11000010110010000001000010100010
-00000000000011101000000000000010
-11100000000000001011100000000000
-00111110000000000000100011000100
-00000010110011100000010000110000
+00111000000100001110000010001001
+10001000000000000010111000000000
+00001111100001001100001011100001
+00000000110010000010100000100010
+00000000000010111000000000000011
+01100000000010001010100000001000
+00100010000001010000101111000000
+00001010000011100000010000110000
00000000000000000000000000000000
-00001000000001011100010100000000
-10110001001010000010010001000000
-00001011000100010000001011000100
-00110000100000010000000000100000
-01000000000010100001000000000010
-11000100000000001011000101000000
-00101101011001000000100001011000
-00000010110000100000000101110000
+00001000000001011100010010100000
+10000001000000000010110001000000
+00001011000100110000001011000101
+00000010100000010011000001100000
+01000000000010111001000000000010
+00101110000000001000001100000010
+00100001010000000000101101010001
+00001010000000100000000101110000
00000000000000000000000000000000
-00011000000101011010010010000000
-10110001000000000010001001000000
-01001011100100000000001011100100
-00000000100010010000000000100010
-01000000000010101001000000000010
-11100100000000001011100100000000
-00101110011000010000100011010010
-00000010110001100000010001100000
+00011000000101011010010000000000
+10001001000000000010111001010000
+00001011100100000000001011100100
+00000100100010110000000000100010
+01000100000010111001100000000010
+01100100000000001010100100000000
+00100010010000000000101111010001
+00000010000001100000010001100000
00000000000000000000000000000000
10100000000101011110010000000000
-10111001000000010011011001000000
-01001011100100000000011111100100
-00000000110010010100100000110010
-01000000000011101001000000000011
-11100100000000001111100100000000
-01111110010100000000110010010100
-00000011111010000000010001110000
+10001001000000010011111001110100
+01011011100100000000011111100100
+00000000110010011000000000110010
+01001000000011111001000000000011
+00000101000000011100100100000000
+10110010010010000000111110010110
+00000010001010000000010001110000
00000000000000000000000000000000
-00101000000000001010010000000000
-11111001000000000011111001000000
-00001111100100000000011110100100
-00000000111110010000000000111110
-01000000000011101001000000000011
-11100100000000001111100100000000
-00111000010000000010111110010000
+00101000000000011010010000000000
+11111001000001000011111001100010
+00001110100100000000001111000100
+00000000111110010100010000111110
+01000000000011111001000000000011
+11100100000000011111100100000010
+00111110010000000000111110010000
00000011110010100000000001100000
00000000000000000000000000000000
-00101000000100001010000000000000
-11101000000000010011001000000000
-00001111100000000100001111100000
-00000000110000000100000000111110
-00000000000011111000000000000011
-11100000000000001111100000000000
-00111110000000000000110011000000
-01000011110010100000010000100000
-00000000000000000000000000000000
-00101000000001000011100100000000
-10111010000000000010001010000000
-00001011101010100000001011101000
-00000000100011100001100000101110
-10000000000010111010000000000010
-11101000000000001011111000000000
-00101110100000000000100010100000
-00000010110010100000000001000000
+00101000000100001010000000010000
+11111000000001010011111000010001
+00001101100000000001001100100000
+00000000110010000100000011110010
+00000000000011111000100000000011
+00100000000000001111100010000000
+00110010000000000000111101000100
+00000011110010100000010000100000
00000000000000000000000000000000
-00101000000001010100110010000000
-10110011000000001010000011000000
-00001011001100000000001010101100
-00000000100000111100000000101100
-11000000000010110011000000000010
-11001100000000001011001000000000
-00101000111000000000100000100000
-00000010110010100000000001010000
+00101000010001010010100000000000
+10111010000000000010111110110010
+00001000101000000000111000101000
+00000010100001100000000000100011
+10100011010010110110100010001010
+00101000000000001011011000000000
+00110110100000000000101110100000
+00000011100010100000000001000000
00000000000000000000000000000000
-00100000000000010001100100000001
-10110111001000000010000111000000
-00001011011100000000011011011100
-00000010100001110000000000101101
-11000000000010110111000000000010
-11011100000000001011011000000000
-00101101110100000000100001100000
-00000010111010000000000001000000
+00101000000001010110110000000000
+10110011000000000010110011010000
+00101000001100000001001000001110
+01001000100000011000000000100100
+11100000000010110011100000100010
+00001100000000001010001100000000
+00100000110000000000101100100000
+00000110110010100000000001010000
+00000000000000000000000000000000
+00100000000000010001110010010000
+10110111000000000010111111100000
+01001000011110000000001000001100
+00010000100001010000100000100101
+10000000000010111110000000000010
+00011100000000001011011100000000
+00100101010000000000101101100000
+10000110111010000000000001000000
00000000000000000000000000000000
-00101000000010000001111000000000
-11110111100010000011000111100000
-00001111011110000000001111001110
-00000000110001111000000000111101
+00101000000010000001111001000000
+11110111100000000011110111100000
+00001101001110001000001000011110
+00000001110001011000000000100101
11100000000011110111100000000011
-11011110000000001111011010000000
-00111100101000000010110001111000
+00011110000000001111011110000000
+00110001101000000000111101111000
00000011111010100000001000000000
00000000000000000000000000000000
-00001000000011011010110000000000
-11111011100000000011111011000000
-00001111101100000000001111101100
-00000000111110110000000000111110
-11000000000011111011000000000011
-11101100000000001111101000000000
-00111110100000000000111110110000
-00010011110000100000011001100000
-00000000000000000000000000000000
-01000000000001011111111000000000
-11101111100011000011001111100000
-00001111011110000000001111111110
-00001000111111111000000000110011
-11100000000011111111100000000011
-11111110000000001111111010000000
-00111111011000000000111111101000
-00000011110100000000000001110000
+00001000000011011010111010000000
+11111011000000000011110011000000
+00001111101101000000001111101100
+00000001111100010000000000101010
+11000001000011110010000000000011
+11101100000000001111001100000000
+00111110000000000000111110110000
+00010011100000100000011001100000
+00000000000000000000000000000000
+01000000000101011111111000100000
+10001111100100000011000111100000
+00001111111110001000001111011110
+00000000111111111000000100110111
+11100100000011111111100000000011
+11110110000000001111011110000000
+00110011111000000000110011001000
+00000011000100000000000001110000
00000000000000000000000000000000
-10101000000100011001110001000000
-10001111000000000010000111000000
-00001111010100000000001000011100
-00000000101111110000000000110101
-11000000000010110111000000000010
-11011100000000001001011000000000
-00101101010001001000101101100000
-00000010111010100000010001100000
+10101000000110011001110001000000
+10000111000000001010000111000000
+00001101011100100000001111010100
+01000000100001110001000000110101
+10000010000010110111011000100010
+11010100000000001011010100000000
+00101001010000000000100001000000
+00000010001010100000010001100000
00000000000000000000000000000000
-00000000000000001001010000000000
-10100111100000000010000111000000
-00001011011100000000001010011100
-00000000101001110000000000100001
-11000000000010110111000000000010
-11011100000000001011011000000000
-00101101000000000000101101111000
-00000010110001000000000000100000
+00000000000000001001111000000010
+10000111000001000010011111000000
+00001010011100000010001011011100
+00000000101001010000000000100001
+11000000010010110111000010000110
+11010100000000001011010100000000
+00100011100000000000100001011000
+00000010000001000000000000100000
00000000000000000000000000000000
-01100000000101001100110000000000
-10000011000000001010000011000000
-00001010000100000000001000101100
-00000000101100111100000000100100
-11000000000010110011000000000010
-11001100000000001001001000000000
-00101100000000100000101100110100
-00000010110110000000010000110000
+01100000000001001100110000000000
+10000011000000000010010011100000
+00001000101100000010001010000100
+00000000100000011100000000100100
+11100000000010110011100000000010
+11000101000000001011000100000000
+00101000000000100000100000010000
+00001010000110000000010000110000
00000000000000000000000000000000
-10101000000101011010110000000000
-11101111000000000011001011000000
-00001011101100000000001110111100
-00000000111010000010001001110010
-11000000000011111011000000000011
-11101100000000001111101000000000
-00111110111100000000111110000000
-00000010111010100000010001100000
+10101000000101011011110000000000
+11001011000000000010011001000010
+00001010111100000000001011101100
+00000001111000000100000101110000
+11010000000011110011100000000011
+11100110000000001111100000000000
+00110010110100000010110010100000
+00000011001010100000010001100000
00000000000000000000000000000000
10000000000000001110110000000000
-11110011000000000011111011000000
-00001111101100000000001110101100
-00000000011110000000000000111110
-11000000000011111011000000000011
-11101100000000001101101000000000
-00111100111000000000111100000000
+11111011000000000011101011010010
+00001111101100000000001111001100
+00000000111110000101000000111110
+01000010010011111011000000000011
+11100100010000001111100000000000
+00111100010001000000111100100000
00000011111001010000000000110000
00000000000000000000000000000000
-00000001000100001111111100000000
-11011111000000001011001111000000
-00001111010100010000001100111100
-00000000110011101000100001110011
-11000000000011111111000000000011
-11111100000000001111011000000000
-00110011100000000010110011010001
-10001011001000000000010000110000
-00000000000000000000000000000000
-10000001000001000100111100100000
-10001011000000000010001011000000
-00001001100100000001001000101100
-00000000100010101101000001101010
-11000000000010011011000000000010
-11101100000000001011101000000000
-00101010100000010000100010010000
-00000011011000000100000000010000
+00000001000100001111110000000000
+11111011000000000011111111000001
+00001100111100000000001100110100
+01000000110010010000100000010011
+11000000000011001101000000000010
+00110100001000001100110111000001
+00110011100000000000110010110000
+00000011001000000000010000110000
00000000000000000000000000000000
-10000000000001010110110000000000
-10011011000000000010001011000000
-00001011101100000000001010101100
-00000010100000000000101000100010
-11000000000010111011000000000010
-11101100000000001011101000000000
-00100010010000000000100010000000
+10000001000001000110110000000100
+10111011000000000010110010100000
+00101000101100000100001000100100
+00000010100010010100000000100010
+01110000000010001001110000000010
+10000100000010001101100000000000
+10100010000000000000100010110000
+00000010001000000100000000010000
+00000000000000000000000000000000
+10000000000001010010110000000000
+10111011000000000010111011100010
+00001000101100000000001000101100
+00000010100010100000000000101010
+11100010000010001011100000000010
+10100110000000001000000100000000
+00100010110000000000100010000000
00000010001000000000000001000000
00000000000000000000000000000000
00001000000101000000110000000000
-10000011000000000010000011000000
-00001011000100000000111000001100
-00000000100000010000000000101000
-11000000000010010011000000000010
-11001100000000001011001000000000
-00101000010000000000100000000000
-00001010010000100000000100000000
+10110011000000000010111011000000
+00011000001100000000001000000100
+00000000100000100000000011101000
+01000000001010001001000000000010
+10100100000000001001000110000000
+00100000010000000000100000000000
+00000010000000100000000100000000
00000000000000000000000000000000
-00000000000010010110110000010000
-11011111000000000011001011000000
-00001111100100000000001110101100
-00001001110010000000000000100010
-11000000000011111011000000000011
-11101100000000001111101000000000
-00110010000000000000110010010000
+00000000000011010101110000000000
+11111011000000000111111011000000
+00001000111100000000001100100100
+00000000110010010000000000111010
+01000000000011001001000000000011
+10100100000000001100100100000001
+00110010100000000010110010010000
00000011001000000000001101010000
00000000000000000000000000000000
-10100000000101011101110000000000
-11111111000000000011111111000000
-00001101110100000000001111111100
-00000000111111010000000000001111
-11000000000011111111000000000011
-11111100000000001111111000000000
-00111111000000000000111111010000
-00000011101010000000011001110000
+10100000000101011111110000000000
+11111111000000000011111111000001
+00001111111100000000101111110100
+00000000111111010000000000110111
+01000000000011011101000000000011
+11110100000010001111110100000000
+00111101000000000000111111010000
+00000011111010000000011001110000
00000000000000000000000000000000
-11000000010001011101111000001000
-11011110100000000011110101100000
-00001101111110000000001111111100
-00000000111111111000000000111111
-11100000000011111111100000000011
+11000000000001011111101000000000
+11111110000000000011001101100000
+00001110111110000000001100111100
+00000000110011110000000000110011
+11000000000011001111100000000011
11111110000000001111111110000000
-00111111111000000000110011000000
+00111111111000000000111111100000
00000011001100000000000001110000
00000000000000000000000000000000
-10000000000100001110111000000000
-10001000100000010010111001100000
-01001000101110000000001011111110
-00000000101110111000000000101110
-11100000000010111011100000000010
+10000000000000001110101000010000
+10111000100000100010001011100000
+00001000101110000000001101111101
+00000010100011110100000000100011
+11011110000010001011100000000010
11101110000000001011101110000000
-00101110110000000010100010000100
+00101110110000000100101110110000
00000010001000000000010000110000
00000000000000000000000000000000
-10001000000001011100110000000000
-10010000100001000010101011000000
-00001011001100000000001011001100
-01000000101100110000000000101100
-11000000000010110011000000000010
+10001000000001011100100000000000
+10110000000000001010001011000001
+00101010001100000000001000001101
+00000000100000110100000000100000
+11000000001010000011000000000010
11001100000000001011001100000000
-00101100110000000010100000000100
+00101100110000000000101100110000
00000010001000100000000101110000
00000000000000000000000000000000
-11000000000101011010110000000010
-10001000000000000010111011100010
-00001010101100000000001011101100
-00000000101110110000000000101110
-11000000000010111011000000000010
+11000000000101011010100000000100
+10111010000110001110001011000000
+00001000101100000000001001101100
+00000000100010110000000000000010
+11000000000010001011000000000010
11101100000000001011101100000000
-00101110110000000000100010000000
+00101110110000000000101100110000
10000010001100000000010001100000
00000000000000000000000000000000
-01000000000101011110110000000000
-11011011000000000011110001110000
-00001111101100000000001111101100
-00000000111110110000000000111110
-11000000000011111011000000000011
+01000000000101011110000000000000
+11111001110000000011001011010100
+00001010001100000000001100101100
+00000000110010110000010000110010
+11000000000011001011000000000011
11101100000000001111101100000000
-00111100110000000000110010100100
-00001011000100000000010001110000
+00111110110000000000111110110100
+00000011000100000000010001110000
00000000000000000000000000000000
-11100000000000011011110000100000
-11111111000000000011111101000001
-00001101111100000000001111111100
-00000000111111110000000000111111
+11100000000000011011001010000000
+11111101100000011011111111000000
+00001111111100000000001111011100
+00000000111101110000000010111110
11000000000011111111000000000011
11111100000000001111111100000000
-00111111110000001000111111101001
+00111111110000000000111111110000
00000011111110000000000001100000
00000000000000000000000000000000
-01000000000100001010110000000000
-11011000000000000011111011000000
-00001110101100000000001111101100
-00000000111110110000000000111110
-11000000000011111011000000000011
+01000000000100001010010100000000
+11110011010000000011101011000010
+00001110101100000000001100101100
+01000000111110110000100000110010
+11000000101011001011000000000011
11101100000000001111101100000000
-00111110110010000000111101100100
-00000011110100000000010000100000
+00111110110000000000110010110100
+00000011000100000000010000100000
00000000000000000000000000000000
-11001000000001010000110100000000
-10001010000000000010111011001000
-00000000101100000000001011111100
-00000000101110110000000000101110
-11000000000010111011000000000010
+11001000000001010010010000000000
+10111011100000000010000011000000
+00001000101100100000001010111111
+00000000101111111010010110100011
+11000000000010001011000000000010
11101100000000001011101100000000
-00101110110000001000101110000101
-00000010111100100000000001000000
+00101110110000000000100010110000
+00000010001100100000000001000000
00000000000000000000000000000000
-11100000000001010100110101000000
-10010010000000000010110011100010
-00000010001100000000001011001100
-00000000101100110000000000101100
-11000000000010110011000000000010
+11100000000001010100110000000000
+10110001011000000010110011010000
+00001010001100100000001000001110
+00100100101100110100000000101010
+11000000000010000011000000000010
11001100000000001011001100000000
-00101100110100000000101100010100
-00000010111110000000000001010000
+00101100110000000010100000110000
+00000010001110000000000001010000
00000000000000000000000000000000
-00100000010000010001111000000000
-10000100100000000010111111100000
-00001000011110000000001011011110
-00000000101101111000000000101101
-11100000000010110111100000000010
+00100000000100010001111000000000
+10110101100000000010010111100100
+00001000011110000000101010011110
+01000000101100111000001000101001
+11100000010010000111100000000010
11011110000000001011011110000000
-00101101111000000000101101011000
-00100010110010000000000001000000
+00101101111000000000100001111000
+00000010000010000000000001000000
00000000000000000000000000000000
-01001000000010000000110000000000
-11010001001000000011110011001000
-00011110001100000000001111001100
-11000000111100110000000000111100
-11000000000011110011000000000011
+01001000000000000000100110000000
+11110011001010000011110011000000
+10001110001100000000001100001100
+00000000111100110001000000111000
+11000000000011000011000000000011
11001100000000001111001100000000
-00111100110000000000111100010000
-00000011110100100000001000000000
+00111110110000000000110010110000
+00000011000100100000001000000000
00000000000000000000000000000000
-01000000000111011011110001000000
-11111101000000000111111111000000
-01001111111100010000001111111100
-01000000111111110000000000111111
-11000000000011111111000000000011
+01000000000101011011100000100000
+11110111000000100111100110000000
+10001111011100000000001111111100
+00000000111111110000100000110111
+11010010000011111111000000000011
11111100000000001111111100000000
-00111111110000000000111111110001
+00111111110000000010111111110001
00000011110100000000011001100000
00000000000000000000000000000000
-10101000010011011110111000000000
-11001011000010000011111011000000
-00011111101100000000001111101101
-01100000111110110000000000111110
-11000000000011111011000000000011
+10101000000001011110110100000000
+11111001011001000011010011000000
+00001110101100000000001100101101
+11000000110010110100100000111110
+11000000001011001011000000000011
11101100000000001111101100000000
-00111110110000000000111110111010
-00010011001010100000000001110000
+00111110110000000000110010110100
+10000011001010100000000001110000
00000000000000000000000000000000
-01001000000000011001110000000000
-10000111010001000010110111000000
-00001011011100000000001011011100
-00000000101101110000000000101101
-11000000000010110111000000000010
+01001000000000011001110000100000
+10110001000100001010000111000000
+00011000011100000000001000001100
+00100010100001110000000000101101
+11000000000010000111000000000010
11011100000000001011011100000000
-00101101110000001000101100110000
-10000010000100100000010001100000
+00101101110000000000100001110000
+00000010000100100000010001100000
00000000000000000000000000000000
-11000000010000001001111000000000
-10000101100000000010110111100000
-00001011011110000001001011011110
-00001000101101111000000000101101
-11100000000010110111100000000010
+11000000000100001001111100000000
+10110111100000000010011111100001
+00001010011110000000101000011110
+10000000100001111010000000101100
+11101000000010000111100000000010
11011110000000001011011110000000
-00101101111000000000101101111000
-00000010001100000000000000100000
+00101111111000000000100001111000
+00000010101100000000000000100000
00000000000000000000000000000000
-01001000000101001100110000000000
-10000011111001100010110010010000
-00001011001100000000001011001100
-00000000101100110000000000101100
-11000000000010110011000000000010
+01001000000101001100111000000000
+10110011010100000010000011010100
+00001000001100000000001000001100
+00000000100000110000000000101100
+11000000000010000011000000000010
11001100000000001011001100000000
-00101100110000000000101100110001
-00000010000100100000010000110000
+00101100110000000010100000110100
+10001010100100100000010000110000
00000000000000000000000000000000
11101000000101011010100000000000
-11001010000010000011111110000000
-10001011101000000000001111101000
-00000000111110100000000000111110
-10000000000011111010000000000010
+11110010100000001011010110100000
+00001010001000000010001100101000
+00000000100010100000010000111110
+10000000000011001010000000000011
11101000000000001111101000000000
-00111110100000000000111110101000
-00001011001110100000010001100000
+00111110100000000000110000100100
+00001011101110100000010001100000
00000000000000000000000000000000
-01001000000000001110000000000010
-11111000010000000011111000000000
-11001111100000000000001111100000
-00000000111110000000000000111110
+01001000000000001110000100010000
+11111000001000000011111000010010
+00001111100000000000001111100001
+00000000111110000100000100111110
00000000000011111000000000000011
11100000000000001111100000000000
-00111110000000000000111110000100
-00000011110100100000000000110000
+00111110000000000000111110000000
+00000010010100100000000000110000
00000000000000000000000000000000
-00001000000100001110010010011100
-11111001110001010011111001000110
-01001111100100000100001111100100
-00010000111110010000000000111110
-01000000000011111001000000000011
+00001000000100001110011010110000
+11111011000000000011101001000000
+00001100100100100000001100000100
+00000000110000010100000000110010
+01000000000011001001000000000011
11100100000000001111100100000000
-00111110010000000000111110110000
-00000011110000100000010000110000
+00111110010010000010110010110000
+00000011000000100000010000110000
00000000000000000000000000000000
-10000000010001000110010000000000
-10111011000000000010111001010000
-00001011100100000000001011100100
-00000000101110010000000000101110
-01000000000010111001000000000010
-11100100000101001011100100000000
-00101110010110001000101110010110
-00000010111000000000000000010000
+10000000010001000110110010000000
+10111001100010000010001001000000
+10001000100100100000001010100101
+11000000100010010110001000100010
+01000000001010001001000000000010
+11100100000000001011100100000000
+00101110011110001000100010010000
+00000010101000000000000000010000
00000000000000000000000000000000
-00011000000001010010010000000000
-10111001000000000010111001000010
-00001011100100000100001011100100
-00000000101110010000000000101110
-01000000000010111001000000000010
+00011000000001010010010000001000
+10111001000100000010101011000000
+00001000100100000000001000100100
+00000000100010010000000000101000
+01000000000010001001000000000010
11100100000000001011100100000000
-00101110010000000000101110010000
-00000010110001100000000001000000
+00101100010000000010100010010000
+00000010000001100000000001000000
00000000000000000000000000000000
-00001000000001000000010000000000
-10110001000000000010110001000000
-00001011000100000010001011000100
-10100000101100010000000000101100
-01000000000010110001000000000010
+00001000000001000000010000000100
+10110001001010000010000011000001
+01001000000100000100001010000100
+10100000100000010010100100100000
+01001010000010000001000000010010
11000100000000001011000100000000
-00101100010000000000101100010010
-00010010110000100000000100000000
+00101100010000000000100010010010
+00000010100000100000000100000000
00000000000000000000000000000000
-10111000000001010110100000000000
-11111010000001000011111000000000
-00001111100000000000001111100000
-10000000111110000000000000111110
-00000000000011111000000000000011
-11100000000000001111100000000001
-00111110000101000000111110000101
-00000011111011100000001101010000
+10111000000001010110000000000000
+11111000001000000011101000000000
+00101100100000000100001100100001
+11000010110010000111000010111010
+00001000000011001000000000000011
+11100000000000001111100000000000
+00111110000101000000110000000101
+00100011001011100000001101010000
00000000000000000000000000000000
-10011000000101011100010000000000
-11111001000000000011111111000000
-00001111100100000010001111100100
+10011000000101011110010000000000
+11111001000000000011111101000000
+00011111000100000000001111100100
00000000111110010000000000111110
-01000000000011111001000000000011
+01001010000011111001000000000011
11100100000000001111100100000000
-00111110010000000000111110010001
-00000011111001100000011001110000
-00000000000000000000000000000000
-00011000010001011011010000001000
-11111001000000000011110101000000
-00101100100100000000001111100100
-00100000111110010000000000111110
-01000000000011111001000000000011
+00111101010000000000111110010001
+00010011111001100000011001110000
+00000000000000000000000000000000
+00011000010001011110010000010000
+11111101101000001011010101000000
+00001101110100000001001111110100
+00110000111111010000100000111110
+01110000000011001001000000000011
11100100000000001111100100000000
-00111110010100000000111110010100
-10000011110001100000000001110000
+00111111010000000000110011010100
+10000011000001100000000001110000
00000000000000000000000000000000
-00111000000100001110000000000000
-10111000000001000010111000000000
-00001000100000000000001011100001
-00000000101110000000000000101110
-00000000000010111000000000000010
+00111000000100001110000000010100
+10111000010000000010001000000000
+00001100100000000000001011100001
+00000100101110000100000100101110
+00101000000011011000000000000010
11100000000000001011100000000000
-00101110000000001000101110000110
-00000010110011100000010000110000
+00101110000010000000100010100000
+00000011010011100000010000110000
00000000000000000000000000000000
-00001000000001001100010000000000
-10110001000000000010111001000000
-00011001000100000000001011000100
-00000000101100010000000000101100
-01000000000010110001000000000010
+00001000000000001100010000000000
+10110001010000000010010011000001
+00001000000100000000001011000100
+10100000101100010010100000101100
+01011000001010000001000000000010
11000100000000001011000100000000
-00101100010000000000101100010010
-00000010110000100000000101110000
-00000000000000000000000000000000
-00011000000101010010010000000000
-10111001100000100010111011100000
-00001001100100000000001011100100
-00000100101110010000000000101110
-01000000000010111001000000000010
+00101100110000100000100010010000
+00000010100000100000000101110000
+00000000000000000000000000000000
+00011000000101011010110100010000
+10111011000000001010001001010100
+00011000100100000001001011100100
+00010000101110010000010000101110
+01000000000010011001000000000010
11100100000000001011100100000000
-00101110010000000000101110011000
+00101100010000000000100010010000
00000010110001100000010001100000
00000000000000000000000000000000
10100000000101011110010000000000
-11111001001000000010110001110000
-00100101100100000000001111100100
-00000000111110010000000000101110
-01000000000011111001000000000011
+11110001000000010011011001010000
+00101100100100000000001111100100
+00000000111110010000000000111110
+01000000000011001001000000000011
11100100000000001111100100000000
-00111110010000000000111110010000
-00000011111010000000010001110000
+00111110010000000000110010010100
+00000011101010000000010001110000
00000000000000000000000000000000
00101000000000011010010000000000
-11111001000000010011111001000000
-00000110100100000000001111100100
-00000000111110010000000000111110
+11111001101010000011111001100000
+10001111100100000000001111100100
+00000000111110010000001000111110
01000000000011111001000000000011
11100100000000001111100100000000
-00111110110000000000111110110000
-00000011110010100000000001100000
+00111110010000000010111110010000
+01000011010010100000000001100000
00000000000000000000000000000000
00101000000100001010000000000000
-11111000011000000011111000010010
-00101100100000000000001111100000
-00000100111110000000001000111110
-00000000000011111000000000000011
+11111000000000000011001000010000
+00001101100000100000001111100000
+10001000110010000010001000110010
+00000000000011001000000000000011
11100000000000001111100000000000
-00111110001000000000111110001000
-01000011000010100000010000100000
+00111110000000000000110010001100
+00001011000010100000010000100000
00000000000000000000000000000000
-00101000000001010011101000010000
-10111010100000000010111110111000
-00001000101000000000001011101000
-00000000101110100000000000101110
-10000000000010111010000000000010
+00101000000000010010100000000000
+10111110101000000010000110010000
+01001101111000000000001011111000
+00000000100011100000000000100010
+10000000001010001010000000000010
11101000000000001011101000000000
-00101110100000000000101110100000
-00001010000010100000000001000000
+00101111100000000000100001100010
+00000000000010100000000001000000
00000000000000000000000000000000
-00101000000001010100101000100000
-10110011110000000010110011000000
-00011011001100000000001011001100
-00000000101100110000000000101100
-11000000000010110011000000000010
+00101000000001010100110000000000
+10110011110000001010000010000010
+00011000001111000000001011001110
+00000000100000110100000000100010
+11000000000010000011000000000010
11001100000000001011001100000000
-00101100110000000000101100110000
-00100010000010100000000001010000
+00101100110000000010100000111000
+00000000000010100000000001010000
00000000000000000000000000000000
-10100000000000010001110000100000
-10110111010000100010111111000000
-00001011011100000000001011011100
-00000000101101110000000000101101
-11000000000010110111000000000010
+10100000000000010001110000000000
+10110111000000000010001111000000
+10011001011101000000001011011011
+00000000100001111100000000100001
+11000000000010000111000000000010
11011100000000001011011100000000
-00101101110000001000101101111000
+00101101110000000000100001110000
00000010001010000000000001000000
00000000000000000000000000000000
-10101000000010000001111000000000
-11110100100000000011110111100000
-00001111011110000010001111011110
-00000000111101111000000000111101
-11100000000011110111100000000011
+10101000000010000001111000100000
+11110011100000001011000111100000
+01001100011110000000011111000110
+00000010110000111000000010110000
+11101010000011000111100000000011
11011110000000001111011110000000
-00111101111001000000111100111000
+00111111111000000000110011111000
00000011001010100000001000000000
00000000000000000000000000000000
-00001000000111011010110000000000
-11111000001000000011111011000000
-00001100101100000000001111101100
+00001000000011011010110000000000
+11111011000000000011111011000001
+00011111100100000000001111100000
00000000111110110000000000111110
11000000000011111011000000000011
11101100000000001111101100000000
-00111110110010000000111110100110
-00000011110000100000011001100000
+00111110110000000000111110110000
+01000011110000100000011001100000
00000000000000000000000000000000
-00000000010001011111111000011000
-11111111110000000011111111101001
-00001111111110000000001111111110
-00000000110011111000000000111111
-11100000000011111111100000000011
+00000000000001011111111001000000
+11111111100000000011001111100000
+00001101111010010000001111111110
+00000000110011001000000000110011
+11100010000011001111100000000011
11111110000000001111111110000000
-00111111111000000000111111111100
+00111111111000000000110011011000
00000011000000000000000001110000
00000000000000000000000000000000
-10101000000100011001110000000000
-10110111000000000010110111000000
-00001011011100000000001111011100
-00000000110101110000000000101101
-11000000000010110111000100000010
+10101000000100011001110001000000
+11110111001000010010000111001000
+01011000011100000000001011011000
+01000000110101000000000000110101
+11000000000010000111000100000010
11011100000000001011011100000000
-00101101110000000000101101110000
-00000011011010100000010001100000
-00000000000000000000000000000000
-00000000000000001001110000000000
-10110111000000000010110111001000
-00011010011100000000001011011100
-00000000100001110000000000101101
-11000000000010110111000000000010
+00101101110001000000100001010000
+01000011011010100000010001100000
+00000000000000000000000000000000
+00000000010000001001110000010000
+10110110000000011010001111000000
+00011000011100000010001011011100
+00000000100001100001000000100000
+11000000000010010111000000000010
11011100000000001011011100000000
-00101101110000000000101101110000
+00101101010000000000100000010000
00000010000000000000000000100000
00000000000000000000000000000000
-00100000000101001100010000001000
-10110011100100000010110010000000
-00001011001100000000001010001100
-00000000100100110000000000101100
-11000000000010110011000000000010
+00100000000101001100110000010000
+10100011000000000010000011100100
+10001000001100000000001011100100
+00000000100110010000010000100100
+11000000001010010011000000000010
11001100000000001011001100000000
-00101100110000000000101100110000
+00101110010000000000100010010100
00000010010010000000010000110000
00000000000000000000000000000000
-10101000000101011000110000000000
-11111011100000000011111001010000
-00001110101100000000001011101100
-00000000110010110000000000111110
-11000000000011111011000000000011
+10101000000101011010000000000000
+10111011100000000011001011100000
+00001100101100000000001111101100
+00000000110010110000000000110011
+11000000000011011011000000000011
11101100000000001111101100000000
-00111110110000000000111110110000
+00111110110000000000110010110000
00000011001010100000010001100000
00000000000000000000000000000000
-10000000000000001110110100000000
-11111011000001000011111011010001
-00001111101100000000001111001100
+10000000000000001110000000000000
+11111011110000110011111011011000
+00101111101101000000001111101000
00000000111110110000000000111110
-11000000000011111011000000000011
+11000000000011101011000000000011
11101100000000001111101100000000
-00111110110000000000111110111000
+00111110110000000000111110110000
00000011111000000000000000110000
00000000000000000000000000000000
-00000001000100001111111001000000
-11001100000000000011001111000010
-00001110111100000001001111101100
-00010000110011110000000000111111
-11000000000011111111000000000011
+00000001000100001111111000000000
+11001111000000001011001111000000
+00001100111110000000011100110100
+00000000110011110000000000110001
+11000001000011001111000000000011
11111100000000001111111100000000
-00111111110000000000110011110000
-00000011110000000100010000110000
+00111111100000000000110011110001
+10000011000000000100010000110000
00000000000000000000000000000000
-10000001010001000110110100000000
-10000000000000000010100011110000
-00001000101100000001011011101100
-00000000101010110000000000101110
-11000000000010111011000000000010
+10000001000001000100111101000000
+10100011100000010010000011110010
+01001010001110000000101000100000
+00000000101010110000010000101010
+11000000000010101011000000000010
11101100000000001011101100000000
-00111010110100000010100010110000
-00000010111000000100000000010000
+00111010100000000000100010110010
+00000010001000000100000000010000
00000000000000000000000000000000
-10000000000001010010110100000000
-10001011000001000010001011100000
-01011010101100000000001011101100
-00000000100010110000000000101110
-11000000000010111011000000000010
+10000000000001010010000000010000
+10001011100000000010001001100000
+00001000101101100000001000101100
+00000000100010000000000000100010
+11000000000010001011000000000010
11101100000000001011101100000000
-00101100110100000000100010110000
-00000010111000000000000001000000
+00101110110000000000100010100000
+00000010001000000000000001000000
00000000000000000000000000000000
-00001000000001000000110000000100
-10001011000000010010101011000000
-00001000001100000000001011001100
-00000000101000110000000000101100
-11000000000110110011000000000010
+00001000010001000010000000000000
+10100011000000000010001001000000
+00001000001100000000001000001000
+00000000101000000000000000101000
+11000000000110100011000000000010
11001100000000001011001100000000
-00101000110000000000100000111000
-00000010110000100000000100000000
+00101000111000000000100000100000
+00001010000000100000000100000000
00000000000000000000000000000000
-00000000000011000110110000000000
-11001011000000000011001011000000
-00001110101100000000001011101100
-00001000110010110000000000111110
-11000000000011111011000000000011
+00000000000011010110110000010000
+11001001000000000011001001000000
+00101100101100000000001000001100
+00000000110000100000000000110011
+11000000000011001011000000000011
11101100000000001111101100000000
-00111110110000000000110000110000
-00000011110000000000001101010000
+00111100000000000000110010100000
+00000011000000000000001101010000
00000000000000000000000000000000
-10100000000011011011110000010010
-11111111000000000011111111000000
-00001111111100000000001111111100
-00000000111111110000000000111111
+10100000010011011111110000000000
+11110111000000000011110101000000
+00001111111100000100001111110100
+00000000111111010000001000111111
11000000000011111111000000000011
11111100000000001111111100000000
-00111011100000000000111111110000
+00111011000000000000111111100000
00000011111010000000011001110000
00000000000000000000000000000000
11000000000001011111111000000000
-11111111100000000011111111100000
-00001111011100000000101100111101
-00000100111011110001000000110011
-11100000000011111100100000000011
-11111110000000001111111110010000
-00110011010010000000110011110000
-00000011001100000000000001110000
+11111111100000000011111111000000
+00001100111110010010001111110000
+00000000110011011000000000110011
+11100000000011001101000000000011
+00110100000000001100011010000000
+00110011110000000000110011110010
+10000011001100000000000001110000
00000000000000000000000000000000
10000000000100001110111000000000
-10111011100000000010111011100000
-00001011111111000010001000111101
-00001000100011110111010000100010
-11000000000010111001100000000010
-11101110000000001011101100000010
-00100010101100000000100010101100
-00000010001000000000010000110000
+10111011100000000010111011001000
+00001000101100000000001011100001
+10100000110110011000001000100010
+11100000100010001001100001010010
+00100100000000001000101110000010
+00101010111000000000100010011100
+00000010101000000000010000110000
00000000000000000000000000000000
10001000000001011100110000000000
-10110011000000000010110011000000
-00001011001100010000001000001101
-10000100101000110010000010100000
-11000000000110110001000000000010
-11001100000000011011001100000000
-10100000000001000000100000100100
+10110011000000000010110011000010
+00001000001100100000001011000001
+00000000100000010000001100100000
+10000000000010000001000001000010
+00000100000000001000101100000000
+00100000110000001000100010101000
00000010001000100000000101110000
00000000000000000000000000000000
11000000000101011010110000000000
10111011000000000010111011000000
-00001011101100000000101000101100
-00000000100000110000000000100010
-11000000000110111001100000000010
-11101100000000001011001100000000
-00100010100000000000100010110000
-00000010001100000000010001100000
+00001000101100000000001011100010
+00000000100110111000000000100010
+01100000101010001001000000001010
+00100110000100001000101101000000
+10101010110000000000100010010000
+01000010101100000000010001100000
00000000000000000000000000000000
01000000000101011110110000000000
-11111011000000000010111011000000
-00001111101100000000001000101100
-00000000111010110000010000110010
-11000000100011111001110000000001
-11101100000000001111101100000000
-00110010100000000010110010010000
-00001011000100000000010001110000
+11111011000000000011111011000000
+00101100101100000000001111100011
+00100000110010011000000010110010
+11110010010010000001000100001011
+00101111001000001100101100000000
+00110010110100100010110000101000
+00000011000100000000010001110000
00000000000000000000000000000000
11100000000000011011110000000000
-11111111000000000011111111000000
-00001111111100000100001111011100
+11111111000000010011111111000001
+00001111111100000010001111010100
00000000111111110000000000111111
11000000000011111101000000000011
-11111100000000001111111100000000
-00111111100100000000111100010100
-00000011111110000000000001100000
+11100100000000101111111100000000
+00111111110100000000111111011001
+00010011111110000000000001100000
00000000000000000000000000000000
01000000000100001010110000000000
11111011000000000011111011000000
-00001111101100100010001100101100
-00000000110110110000010000110010
-11000000000011111001000000000011
-11101100000000001111101100100000
-00111100100000000100110010010000
-00001011000100000000010000100000
+00001111101100000000001100101100
+01100000110010010000010000111110
+11000100100011111001001000001011
+00101100100000001100101100000000
+00110000110000000010110010110000
+00000011110100000000010000100000
00000000000000000000000000000000
11001000000001010010110000000000
-10111011010110000010111011000000
-00001011011110000000001000111100
-00000010101011110000001010100010
-11000000100010111001110110010010
-11101100000000001011101101000000
-00111010100000000000100010010000
-00000010001100100000000001000000
+10111011000000000010111111000000
+01001011101100000001001000101111
+00000100100000111010000000101100
+00110000000010111001000000000010
+00101111000000101000001100000000
+00100010110000000000100010010000
+00000010111100100000000001000000
00000000000000000000000000000000
11100000000001010100110000000000
-10110011000000000010110011000000
-00001011001101000000001000001100
-00000000100100110000000000100000
-11000000000110110001010000000110
-11001100000000001011001110000000
-00101100000110000000100000110000
-00000010001110000000000001010000
+10110011000000000010111011000000
+00001011001100000000001000000011
+00000000100000111000000000101100
+11000000000010110000010001000010
+01101100010000001000001100000000
+00100000000000000000100000101011
+00000010111110000000000001010000
00000000000000000000000000000000
00100000000000010001111000000000
-10110111100000000010110111100000
-00001011011110001000101000001110
-01000000101001111001000000100001
-11100000000010110101100100000010
-11011110000000001011011110001000
-00101001001000000010100001101000
-00000010000010000000000001000000
+10110111100000010010110111100000
+00001011011110000000001000011110
+00000100100001111000010000101101
+10110000010010110100100100000010
+01010110010010001000011110000000
+00100000101001000100100001111000
+00000010110010000000000001000000
00000000000000000000000000000000
-01001000000010000000110001000000
+01001000000010000000110000000000
11110011000000000011110011000000
-00001111001100000000001000001100
-00000000110110110000000000110000
-11000000000011110011010000000011
-11001100010000001111001100000000
-00111100000100000000110000100000
-00001011000100100000001000000000
+00001111101100000001001100100100
+01000010110000100101001100111100
+11000100000011110011000100000011
+01001000000000001100001100000000
+00110000010000000000110000110000
+00000011110100100000001000000000
00000000000000000000000000000000
-01000000000111011011110000010000
+01000000000111011011110000000000
11111111000000000011111111000000
-10001111111100000000001111111101
-00000000111111110000001000111111
-11000000000011111100000000100011
-11111100000000001111111100000000
-00111101100000000000111111110000
+00001111111100000000101111110100
+00000000111111100000000000111111
+11000100100001110111000101000011
+10111100000000000111111100010000
+00111111110000000000111111110001
00000011110100000000011001100000
00000000000000000000000000000000
10101000000001011110110000000000
-11110011000000000011001011000000
-00001111101101011000001100101100
-00000000111010111010000010110010
-11000000000011110001000000000011
-00101100000100000111101100000000
-00111110000000000000110010110000
-00001011001010100000000001110000
+11111011000000000011111011000000
+00001111101100000000001111100000
+00000000101110110000000000111110
+10000000010011111100000000000011
+00101100000000101100001100101001
+00110010110000000000110010100000
+00000011111010100000000001110000
00000000000000000000000000000000
-01001000000100011001110000000000
-10110111000000000010000111000000
-00001011011100000000101000111101
-00000000100000110101000000100001
-11000000000010110101000000000010
-10011100000000001011011100000000
-00101100000000000010100001110000
-00000010000100100000010001100000
+01001000000100011001110000000100
+10110111000000000010110111010000
+00001011011100000011001011011100
+00000100101101110000000000101101
+11000000100011100000000000010010
+00010100000000001000011100000000
+00101001100000000000100001110000
+00000010110100100000010001100000
00000000000000000000000000000000
11000000000000001001111000000000
-10110111100001001010000111100000
-00001011011110000000001000011110
-10000000101001111000000000100001
-11100000010010110111100000000110
-01011110000000011011011110000000
-00101101101000000000101001111000
-00000010001100000000000000100000
+10110111100000000010110111101000
+00001011011110000000001011011111
+00000000101101111000101100101101
+11100001000010110111100000010010
+00001110000000001000111110000000
+00100000011000000000100001111000
+00000010111100000000000000100000
00000000000000000000000000000000
01001000000101001100110000000000
-10110011000000000010000011000000
-00001011001100000000001000101100
-00000000100000110000000000100010
-11000000000010110011010100000010
-11001100000000011011001100000000
-00101100101100000000101000111111
-00000010000100100000010000110000
+10110011000000000010110011000000
+10001011001100000000001011101111
+10000001101100110110000000101100
+11010000000010100011000001000010
+00001110100000001000001100000000
+00101000111100110010100000110000
+00000010110100100000010000110000
00000000000000000000000000000000
11101000000101011010100000000000
-11111010000000000011001010000000
-00001111101000000100001100101000
-00000000111010100000000000110010
-10000000000011111110100000000011
-01101000000001001111101000000000
-00111111100000100000111011100100
-00000011001110100000010001100000
+11111010000000000011111010000000
+00001011101000000000001111111011
+00000000111111101100000000111111
+10000000010011111110000000001011
+00111000001010001100001000000000
+10110011101100000000110011100000
+00000011111110100000010001100000
00000000000000000000000000000000
01001000000000001110000000000000
11111000000000000011111000000000
-00001111000000000100001111100000
+00001111100000000010001111100000
00000100111110000000000000111110
-00000000010011111000011000000011
-10100000000000001111100000000000
-00011100000000000010010110000000
-00000011110100100000000000110000
+00000010010011110000000000000011
+11100001000100001111100000100010
+00111110000001000000111110001000
+00010011110100100000000000110000
00000000000000000000000000000000
00001000000100001110010000000000
-11111001101000001011001001000000
-00001111100100100000001100100100
-00000000110110010000000000111110
-01000000000011001001010000000010
-00100100000000001111100100010000
-00110010011000100010110000010000
-00000011000000100000010000110000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000110010011000000000111110
+01100000100011111001101000000011
+00000110000000001100101100000000
+00110000010000000000110010010000
+00000011110000100000010000110000
00000000000000000000000000000000
10000000000001000110010000000000
-10110001001000010010001001000000
-00001011100101000000001010100100
-00000000100010010000000000101110
-01000000000010100001010010000010
-10100100000000001011100100000000
-00100010010001000000101010010000
-00000010001000000000000000010000
+10111001000000000010111001000000
+00001011100100000010001011100110
+01000110100010011000000000101110
+01110101010010111001100000110010
+00100111000000001000100100000100
+00110110010100000000100010010000
+00000010111000000000000000010000
00000000000000000000000000000000
00011000000001010010010000000000
-10111001000000000010001001000000
-00001011100101000000001000100100
-00010000100110010000000000101110
-01000000000010001001000000000010
-10100100000000001011000101000000
-00100010010000000010100010010000
-00000010000001100000000001000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000100010010101000000101110
+01000000100010111011000000001010
+00100101010000001000100100000000
+10100010010000110000100010010000
+00000010110001100000000001000000
00000000000000000000000000000000
00001000000001000000010000000000
-10110001000000000010000001000000
-00001011000100101000001010000100
-10100100100000010010100000101100
-01000000010010101001000000000110
-10000100000000001011000100000000
-00100000010010000000101000010010
-00001010000000100000000100000000
+10110001000000000010110001001000
+01001011000100000000001011000100
+10000110100000010000010000101100
+01000000000110110001001000000010
+00000100100000101000100110000000
+10100100010010000000100000010010
+00000010110000100000000100000000
00000000000000000000000000000000
10111000000011010110000000000000
-11111000000000000011001000000000
-10001111100000100000001100100000
-10000000110110000010000000111110
-00010100000011001000000000000011
-10100000000000001111100001010000
-00110010000000000010110010000000
-00000011001011100000001101010000
+11111000000000000011111000000000
+00001111100000000000001011101001
+01000000110010000000000000111110
+10000000000011111010000000000011
+00100001010001001100100000000000
+10110010000000000010110010000000
+00000011111011100000001101010000
00000000000000000000000000000000
10011000000111011110010000000000
-11111001000000000011111001000000
-00001111000100000000001111100100
-10100000110110010010100000111110
-01000000000011111101000000000011
-11100100000000001111100100000000
-10111111010001000000111101010001
+11111001000000000011111001001110
+00001111100100101100001111110100
+01000000111111010000000000111111
+01000000100001111101000100000011
+11110100010000001111100100000000
+00111111010001001000111111010001
00000011111001100000011001110000
00000000000000000000000000000000
00011000000001011110010000000000
-11111101000000000011111001000000
-00001111010100001010101100100110
-10100000111010010001100000111110
-01000000000011111101000000000011
-11100100000000001111110100000000
-00110011010000100010110011010000
-10000011000001100000000001110000
+11111001000000000011111001000010
+00001111100100000000001100110100
+01100000010011010000000000110011
+01000000000011001101000011000011
+00110100001000001100100100000100
+00110010010000100000110010010010
+00000011110001100000000001110000
00000000000000000000000000000000
00111000000100001110000000000000
10111000000000000010111000000000
-00001011100000000000001000000011
-10000000100010000100000000101100
-00000000000010111010000000000010
-11100000000000001011100000000000
-00100010000100000000100010000100
-00000010000011100000010000110000
+00001011100000000000001000100001
+00000000110110000000000010100010
+00000000000010001010000000010010
+00100000000001001000100000000000
+10100010000100000010100011000100
+00010010110011100000010000110000
00000000000000000000000000000000
00001000000001011100010000000000
-10110001000000000010110001000000
-00001011000100000000001000000100
-00100010101000010010000000101100
-01001010000010110001000000000010
-11000100000000001011000100001000
-00100000010000000000100000010000
-00000010000000100000000101110000
+10110001000000000010110001000010
+00011011000100001000101000000100
+10000000100010010000000000100000
+01000000000010000001000000011010
+00000100001000101000100100000000
+00100001011000001000100001010100
+00000010110000100000000101110000
00000000000000000000000000000000
-00011000000101011010010000010000
+00011000000101011010010000000000
10111001000000000010111001000000
-00001011100100000000001000100100
-00010000100010010000000000101110
-01000000000010111001000000000000
-11100100000000001011000100000000
-10100010010010000000100010010100
-00000010000001100000010001100000
+00001011000100000100001000100100
+00100000100110010000000000100010
+01000000001010001001001000000010
+00100100101000001000100100000010
+10100011011000000001100011010000
+00000010110001100000010001100000
00000000000000000000000000000000
10100000000101011110010000000000
11111001000000000011111001000000
-00001111100100000000001100100100
-00000000111010010000000000111110
-01000000000011111001110100000001
-11100100000000001111100100000000
-00110010011110000000110010010000
-00001011001010000000010001110000
+00001111100100000000001100100101
+01000000100000011000100000110000
+01001000000011001001110000000011
+00100100000000001100100100001000
+10110010010100001000110010010010
+00000011111010000000010001110000
00000000000000000000000000000000
00101000000000011010010000000000
-11111001000000000011111001000001
-00001111000100000000001111000100
-00000000111110010000000000111110
-01000000000011111001000000000011
-11100100000000001111100100000000
-00111110010000100000111100010000
-00000011110010100000000001100000
+11111001000000000011111001000000
+10001111100100000001001111100110
+00000000111110010100000000111110
+01100100100011111001100001000011
+11100100000010001111100100000000
+00111100010000000000111110010000
+00010011110010100000000001100000
00000000000000000000000000000000
00101000000100001010000000000000
-11111000000000000011001000000000
-00001111100000001000001101100000
-00000000110110000000010000111110
-00000001000011001000001000000011
-11100000000000001111100000000000
-00111100000000000100110010000000
-01000011000010100000010000100000
+11111000000000000011111000000000
+00001111100000000000001111100001
+00010000110010000100101000111110
+00010000000011110000011000001011
+00100000000000001100100001000000
+00110010000000000000110011000100
+00000011000010100000010000100000
00000000000000000000000000000000
00101000000001010010100000000000
-10111110000000000010001010000000
-00001011111001100000001000101000
-00000010100010100000000000101110
-10000000100010101110110000000010
-11101000000000001011111000010000
-00101111101000000000100011100000
-00000010000010100000000001000000
+10111010000000100010111010000001
+00001011101000000000001011111001
+00000100100011101110000100101111
+10100010000010111110000000001010
+00111000100000101010001000000000
+00100010100000000000100010100000
+01000010000010100000000001000000
00000000000000000000000000000000
00101000000001010100110000000000
-10110011000110000010000011000000
-10001011001101000000001001001100
-00000000100100110000000000101100
-11000000000110000011110100000110
-11001100000000001011001100000000
-00101100011100000000100000100000
+10110011000000000010110011000000
+00001011001100000000001011101111
+10000000100000110000000000101100
+01010010000010110011110100000010
+00101110100001001000001100000000
+10100000111000001000100100100000
00000010000010100000000001010000
00000000000000000000000000000000
10100000000000010001110000000000
-10110111100000001010000111000001
-00001011011100000000001000011100
-01000000100001110000010000101101
-11101000000010100111000000000010
-11011100000000001011011100000000
-00101101010000100000100001100000
-00000010001010000000000001000000
+10110111000000000010110111000000
+00001011011100000000001011001101
+00000000100001110000000000101101
+00000000000010110010000000000010
+00011000000000001010111100000100
+00100001110100001000100101101000
+00010010001010000000000001000000
00000000000000000000000000000000
10101000000010000001111000000000
-11110111100000000011000111100000
-00001111011110000000001101011110
-00010000110101111010000000111101
-11101000000011000111100000000011
-11011110000000001111010110000000
-00111101011000000010110000101000
+11110111100000000011110111100000
+00001111011110000000001111011110
+00000010110001111000001100111101
+01100000000011110101100000001011
+00110110000000001100011010000000
+00110000101000000010110100101000
00001011001010100000001000000000
00000000000000000000000000000000
-00001000000111011010110000001000
-11110001000000000011111011000000
-00001111101100000000001111101101
-10000000111110110100100000111110
-11011000000011111011000000000011
-11101100000000001111101100000000
-00111100010000000000111110100000
+00001000000111011010110000000000
+11111011000000000011111011000100
+00001111101101001001001111100000
+00010000111110110000000100111110
+01000000000011111000000000010011
+11100000000000001101001000000100
+00111110100000000000111010100000
00000011110000100000011001100000
00000000000000000000000000000000
00000000000001011111111000000000
-11110111100000000011101111100000
-00001111011110000001001100111111
-00000000111011111000100000111111
-11110010000011111111100000000011
-00111110000000001111011110000000
-10110011111000000010110001101000
+11111111100000000011111111100000
+00001110111110001000001111110110
+00000000110011111000000000111111
+01100100001011000100100000000011
+00111010010000001100011110000000
+00110011011000001000111111101000
00000011000000000000000001110000
00000000000000000000000000000000
10101000000100011001110001000000
-10110111001000000010000111000100
-00001011011101000100001000111100
-01000000100001110001000000101101
-11000000000010110111010000000011
-01011100000000001011011101000100
-00100001110000000010100001100000
+10110111000000000010110111000001
+00001101011100000100001011010100
+10000000110101110000000000101101
+01010000000010000100010100001010
+00011000111100001000011100010000
+00100001010000000000101101100001
00000010001010100000010001100000
00000000000000000000000000000000
00000000000000001001110000000000
-10110111000000000010100111000000
-00001011011000000000001000011100
-00000000101001110000000000101101
-11000000000010111111000010000010
-01011100000000001011010100000000
-00100000010000000000100001100000
+10110111000000000010110111000000
+00001010011100000000001011011100
+00000000100001110000000000101111
+01000000100010000101000000000010
+00011100000010001000111000000000
+00100001000000000000101100101100
00000010000000000000000000100000
00000000000000000000000000000000
00100000000101001100110000000000
-10110011000000000010000011000000
-00001011001100000010101000001100
-00000010100000110000000000101100
-11000000000010110011100010000010
-01001100000000001011001100000000
-00100000010100000000100000100100
+10110011000000000010110011000000
+00001000001100000000001011100001
+00000001100100111001000000101100
+01010000000010000000000000001010
+00101001000000001000001000000010
+10100000000100100000101100100000
00000010000010000000010000110000
00000000000000000000000000000000
10101000000101011010110000000000
-11111011000000000011101011000000
-00001111100100000000001100111100
-00000000111011110000000000111111
-11000000100011111010110000000011
-01101100000000001111101100000000
-00110010011100000010110010100101
+11111011000000000011111111000000
+00001010111100000000001111101111
+01000000110010101000010000111110
+11010000000011001000000000000011
+00101001000000001100001100000000
+00110010111000000000111100010000
00000011001010100000010001100000
00000000000000000000000000000000
10000000000000001110110000000000
-11111011010000010011111011000000
+11111011000000000011111011000000
00001111101100000000001111101100
-00000000111110110000000000011110
-11000000000011111000000000000011
-11101100000000001111101001000000
-00111110011000000000111100100000
-00000011111000000000000000110000
+01000000111110100100000000111110
+01100000000011111000010000000011
+11100000000010001111101100100000
+00111110111000000000111110011000
+01010011111000000000000000110000
00000000000000000000000000000000
00000001000100001111110000000000
-11111111000000000011001111000000
-00001111111110100000001100111100
-00000000000101110000000000110011
-11000000000011111111000000000010
-11111100000000001111110100000000
-00111111010000100010110011100000
+11111111000000000011111011000000
+00001111111100000000001111100100
+00000000110011110000000000111111
+01100010000011111100000000001011
+00110000001000001100111000000000
+00110001100000000000110011011000
00000011000000000100010000110000
00000000000000000000000000000000
10000001000001000110110000000000
-10110010010100000010001011000000
-00001011001101000000001000101100
-00000000100010110000000010100010
-11000000000010111001100000000010
-11101100000000001011101001000000
-00101100011110000000100010100000
-00000010001000000100000000010000
+10111011000000000010111011000001
+00001011101100000010001011100010
+00010000100010011000000100101110
+01100100100010110000100000100010
+10100010000000101000101000000110
+00100010100000001000100010011100
+01000010001000000100000000010000
00000000000000000000000000000000
10000000000001010010110000000000
-10111011000011000010001011000000
-00001011101100000000001000001100
-00001000101110110000000000100010
-11000000000010111011100000000110
-11101100000000001011101100000000
-00101110111000000100100010100000
+10111011000000000010111011000000
+00001011101100000000001011100010
+00000000100010011100000000101110
+01000000010010111000100000000010
+00101010001010001000101100000000
+00100010010000000000100010010110
00000010001000000000000001000000
00000000000000000000000000000000
00001000000001000000110000000000
-10110011000000011010000011000000
-10001011001100000000001000001100
-00010000101000110000001000100000
-11000000000010110000000000000110
-11001100000000001011001000000000
-00101110100000000000100000100000
-00001010000000100000000100000000
+10110011000000000010110011000000
+00001011001100000000001011000010
+00000000100000010000000100101100
+01000000000010110000000000001010
+10000000000000001000101100000000
+10100000010000000000100000010000
+00000010000000100000000100000000
00000000000000000000000000000000
00000000000011010110110000000000
-11111011000000000011001011000000
-00001111101100000000001100111100
-00001000111111110000000000110011
-11000000000011111001000000000011
-11101100000010001111100000000000
-00111110110000000000110010100000
+11111011000000000011111011000000
+00001111111100000000001111100000
+00010000110010010000000000111110
+01000000100011111000000000001011
+00101000000001001100101000000000
+10110010000000000010110010010000
00001011000000000000001101010000
00000000000000000000000000000000
10100000000111011111110000000000
11111111000000000011111111000000
-00001111111100000000101111111100
-00000000110111110000000000111111
-11000000000011110101000000000011
-11111100000000001111111100000000
-00111111100000000000111111100000
+00001111111100000000001111110000
+00000000111111010000000000111101
+01000000000011111100000001001011
+11010000000000001111111000000000
+00111111000000000000111111010000
00000011111010000000011001110000
00000000000000000000000000000000
-11000000000001011111101000000000
-11111110000000000011111111000000
-00001111111010000000001111111110
-00000000110111111000000000111111
+11000000000001011111010000000000
+11011111000000000011011111001000
+00001101111100100000001111111110
+01000000110011110000000000111111
11100000000011111111100000000011
-10111110000000001111111110000000
-00111011111000000000111111111000
-00000011111100000000000001110000
+11111110000000001111111110000000
+00111011111000000000111111110001
+00000011001100000000000001110000
00000000000000000000000000000000
-10000000000100001110111000000000
-10111011001000010110111011010000
-00001011101010000000001011101100
-00000000100010111000000000101110
-11100000000010111011100000000010
-11101110000000001011101110000000
-00111010111000000000101110111000
-00000010111000000000010000110000
+10000000000100001110011000000000
+10001111010000010010001111110000
+00001000101111000000001011101100
+10001000100011110111000000101110
+11100000000010111011100000000011
+10101110000000001011101110000000
+00101110111000001000101110110010
+00000010001000000000010000110000
00000000000000000000000000000000
-10001000000001011100000000000000
-10110010000010100010110011010000
-00001011001000000000011011001100
-00000000100100110000000000101100
-11000000000010110011000000000010
-10001100000000001011001100000000
+10001000000001011100010000000000
+10010011010001000010010011000100
+00001001001101000000001011100100
+00000100100000110000100000101100
+11000000100110110011000000000010
+11001100000000001011001100000000
00101000110000000000101100110000
-00000010111000100000000101110000
+00000010001000100000000101110000
00000000000000000000000000000000
-11000000000101011010000001000000
-10111011000000100110111011000000
-00001011101100010000001011101100
-00000000100010110000000000101110
+11000000000101011010010000010100
+10001011000000000010001011000001
+00001000101100000000001011100100
+00000000100010110000010000101110
11000000000010111011000000000010
-11101100000000001011101100000000
-00101010110000000000101110110000
-00000010111100000000010001100000
+10101100000000001011101100000000
+00101110110000000000101110100000
+10000010001100000000010001100000
00000000000000000000000000000000
-01000000000101011110100000000000
-11111011100000100010111011000000
-00001111101100000000001111101100
-00000000110110110000000000111110
-11000000000011111011000000000011
-10101100000000001111101100000000
+01000000000101011100011000000000
+11011011000000010011010011000001
+00001101101100000000001111000110
+01000010100010110000010000111110
+11000000000010111011000000000011
+11101100000000001111101100000000
00111010110000000000111110110000
-00000011110100000000010001110000
+00001011000100000000010001110000
00000000000000000000000000000000
-11100000000000011011110000000000
-11111111001000000011111111000000
-00001111111110000000001111111100
+11100000000000011011011001000000
+11110111000000000011111111000010
+00001111111100000000001111110110
00000000111111110000000000111111
11000000000011111111000000000011
11111100000000001111111100000000
-00111111110000000000111111110000
+00111111110000001000111111110000
00000011111110000000000001100000
00000000000000000000000000000000
-01000000000100001010010000000000
-11111001000000000011111011000000
-01001111101000000000001111101100
-00000000110010110000000000111110
+01000000000100001010010011000000
+11001011000000110011111011000000
+00001110101100000000001111100100
+00000000110010110000001000111110
11000000000011111011000000000011
11101100000000001111101100000000
-00111110110000000000111110110000
+00111110110000000000111100110100
00000011110100000000010000100000
00000000000000000000000000000000
-11001000000001010010010000000100
-10111011000000100010001111100010
-01001011101111010000011011101100
-00000000100010110000000000101110
-11000000000010111011000000000011
-10101100000000001011101100000000
-00111010110000000000101110110000
+11001000000001010010010000000000
+10001111001000000010111111110000
+00001000111100000010001011100100
+00000000100011110000001100101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110111010
00000010111100100000000001000000
00000000000000000000000000000000
-11100000000001010100100000000000
-10110010000000000010100011111000
-00001011001000010000001011101100
-00000000100000110000000000101100
-11000000000010110011000000000010
+11100000000001010100011100000000
+10000011000010000010110011000001
+00001010001100000000001011001100
+00000000100000110000000100101100
+11000000100010110011000000000010
11001100000000001011001100000000
00101100110000000000101100110000
00000010111110000000000001010000
00000000000000000000000000000000
-00100000000000010001111000000100
-10110011100000010010000111100001
-00001011010010000000001011011110
-00000001100001111000000000101101
-11100000000110110111100000000010
+00100000000000010001111000000010
+10000111100100000010110111100010
+00001000011110000000001011011110
+00000010100001111000000000101101
+11100000000010110111100000000010
11011110000000001011011110000000
-00101101111000000000101101111000
+00101101111000001000101101111000
00000010110010000000000001000000
00000000000000000000000000000000
-01001000000010000000100000000000
-11110000010100000010100011000100
-00001111001000000000001011101100
+01001000000010000000010010000000
+11000011000000000011110011000000
+00001110001100000000001111100100
00000000110000110000000000111100
-11000000000011110011000000000011
+11000100010011110011000000000111
11001100000000001111001100000000
00111100110000000000111100110000
00000011110100100000001000000000
00000000000000000000000000000000
-01000000000111011011100000100000
-11111111000101000011101111000101
-00001111110100000000001111111100
-00000000111111110000000000111111
+01000000000111011001110000001000
+11111111110011000011110111000100
+00001111111100000000001111110100
+00000000111111110000100000111111
11000000000011111111000000000011
-10111100000000001111111100000000
-00111011110000000000111111110000
+11111100000000001111111100000000
+00111111110000000000111111110000
00000011110100000000011001100000
00000000000000000000000000000000
-10101000000001011110100000100000
-11111010001001000010111011000000
-00000111101101001000001111101100
-00000000111110110000000000111110
+10101000000001011110110000000000
+11011011110000000011000011010000
+10001100101100010000001111101100
+00000000110010111010000000110010
11000000000011111011000000000011
11101100000000001111101100000000
-00111110110000000000111110110000
-00000011111010100000000001110000
+00111110110000000000111110111010
+00000011001010100000000001110000
00000000000000000000000000000000
01001000000100011001110000000000
-10110110000010100010110111010000
-10001011010100100000001011011100
-00000000101101110000000000101101
-11000000000010110111000000000011
-10011100000000001011011100000000
+10000111100000100010000111011010
+00001000011100000000001011111100
+00000000110100110011000000100001
+11000000000010110111000000000010
+11011100000000001011011100000000
00101101110000000000101101110000
-00000010110100100000010001100000
+10000010000100100000010001100000
00000000000000000000000000000000
-11000000000000001001111000000000
-10110100100000000010110111100000
-00001011011010010000001011011110
-00000000101101111000000000101101
+11000000000000001000111000000000
+10010011100000010010000111100000
+00011000011110000100001011010110
+00000000100001111000000000100001
11100000000010110111100000000010
-10011110000000001011011110000000
+11011110000000001011011110000000
00101101111000000000101101111000
-00000010111100000000000000100000
+00000010001100000000000000100000
00000000000000000000000000000000
-01001000000101001100110100000000
-10110010000010000010110011000000
-00001011000101001000001011001100
-00000000101100110000000000101100
-11000000000010110011000000000010
-10001100000000001011001100000000
-00101100110000000000101100110000
-00000010110100100000010000110000
+01001000000101001100110000000000
+10000011000000001010000011000000
+00001000001100000000001011000100
+00000000100100110000001010100000
+11000000100010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110100
+00001010000100100000010000110000
00000000000000000000000000000000
-11101000000101011010100100000000
-11111010010010000010111010000000
-00001111101001000000001111101000
-00000000111110100000000000111110
+11101000000101011010101000000010
+11011010000000000011001010000000
+00101000101000000000001011101000
+00000000110010100000000000110010
10000000000011111010000000000011
-10101000000000001111101000000000
-00111110100000000000111110100000
-00000011111110100000010001100000
+11101000000000001111101000000000
+00101110100000000000111110100000
+00000011001110100000010001100000
00000000000000000000000000000000
-01001000000000001110000100100000
-11110000000000000011111000000000
-10001111100000000000001111100000
+01001000000000001110000101000000
+11111000000000000011111000000000
+00001111100000000100001111100000
00000000111110000000000000111110
00000000000011111000000000000011
-10100000000000001111100000000000
-00111110000000000000111110000000
+11100000000000001111100000000000
+00111110000000000000111110000010
00000011110100100000000000110000
00000000000000000000000000000000
-00001000000100001110010000000000
-11111011000000100011101001100000
-10001111100100000000001100100100
-00000000111110010000000000111110
+00001000000100001110011010000000
+11101001101000000011110001101000
+00001110100100000000001111100100
+00000000110110010000000000111110
01000000000011111001000000000011
11100100000000001111100100000000
-00111110010000000000111110010000
+00111110010000000000111110110000
00000011110000100000010000110000
00000000000000000000000000000000
-10000000000001000110110000000000
-10111001000000000010001001100010
-00001011001100000000001010100100
-00000000101110010000000000101110
+10000000000001000100010010001000
+10001001110000100010111001110001
+01001000100100000000001011100100
+00000000101010010000000000101110
01000000000010111001000000000010
11100100000000001011100100000000
-00101110010000000000101110010000
+00101110010000000000101110010100
00000010111000000000000000010000
00000000000000000000000000000000
00011000000001010010010000000000
-10111001000000000010101001000101
-00001011100100000000001000100100
-00000000101110010000000000101110
+10101001000010000010111001000010
+00001010100100000000001011000100
+00000000100110010000001000101110
01000000000010111001000000000010
11100100000000001011100100000000
-00101110010000000000101110010000
-00000010110001100000000001000000
+00101110010000000000101110010001
+10000010110001100000000001000000
00000000000000000000000000000000
-00001000000001000000010000000000
-10110001001010000010000001001010
-10001011100100000000001010000100
-00000000101100010000000000101100
-01000000000010110001000000000010
+00001000000001000000010010100000
+10000001001010000010110001001010
+00001000000100100000001011000100
+00000000101000010010100000101100
+01000000000010110001000000000110
11000100000000001011000100000000
-00101100010000000000101100010000
+00101100010000000000101100010010
00000010110000100000000100000000
00000000000000000000000000000000
-10111000000011010110100000000000
-11111000001001000111101000011100
-00001111100000000000001100100001
-01000000111110000000000000111110
+10111000000011010110000010000000
+11101000011100000011111000001001
+00001110100000000000011111100000
+00000000110110000010000000111110
00000000000011111000000000000011
11100000000000001111100000000000
-00111110000000000000111110000000
+00111110000000000000111100000101
00000011111011100000001101010000
00000000000000000000000000000000
-10011000000111011110010000001000
-11111001001011001011111001000000
-00001111100100000000001111100100
-00000000111110010000000000111110
+10011000000111011111010000000000
+11111001000000010011111001000000
+00001111100100010000001111110100
+10100010111110010010100000111110
01000000000011111001000000000011
-11100100000000001101100100000000
-00111110010000000000111110010000
+11100100000000001111100100000000
+00111110010000000000111110010001
00000011111001100000011001110000
00000000000000000000000000000000
-00011000000001011110010000000000
-11111001101000000011001101000100
-00001110100100000001001111100100
-00010000111110010000000000111110
+00011000000000011111011010000000
+11001101010000000011001101000000
+00001111100100101000001101101100
+00000000110010010000100000110010
01000000010011111001000000100011
11100100000000001111100100000000
-00111110010000000000111110010000
-00000011110001100000000001110000
+00111110010000000000110010010000
+10000011110001100000000001110000
00000000000000000000000000000000
-00111000000100001110100000000000
-10111000011000000010001000010100
-00001000100000000000001011100000
-10000000101110000000000000101110
+00111000000000001110000101000010
+10001000010000000010001000010000
+00001110100001000000001000100010
+10000010100010001110000010100010
00000000000010111000000000000110
11100000000000001011100000000000
-00101110000000000000101110000000
+00101110000000001000110100000000
00000010110011100000010000110000
00000000000000000000000000000000
00001000000001011100010000000000
-10110001000110000010000001001000
-00001010000100000000001011000100
-00100000101100010000000000101100
+10010001011000000010000001010001
+00001011000100000001001001000100
+00100001100000010000100000100000
01000000000010110001000000000010
11000100000000001011000100000000
-00101100010000000000101100010000
-00000010110000100000000101110000
+00101100010000000000100000111000
+10000010110000100000000101110000
00000000000000000000000000000000
-00011000000101011010010001000000
-10111001000000000010001001000000
-00001000100101000000001011100100
-00000000101110010000000000101110
+00011000000101011010010000000100
+10011001000000000010001001000000
+00001010100100000000001000100100
+10000001100010010000000000100010
01000000000010111001000000000010
11100100000000001011100100000000
-00101110010000000000101110010000
+00101110010000000000100110010000
00000010110001100000010001100000
00000000000000000000000000000000
-10100000000101011110011100000000
-11111001100000001011001001000000
-00001110100100000000001111100100
-00000000111110010000000000111110
+10100000000101011110010000000000
+11011001000000001010001001000000
+00001111100100000000001101100100
+00000000110010010000000000110010
01000000000011111001000000000010
-11100100000000001011100100000000
-00101110010000000000111110010000
+11100100000000001111100100000000
+00111100010000000000110010010000
00000011111010000000010001110000
00000000000000000000000000000000
00101000000000011010010000000000
-11111001010000101011110001000000
-00001111100100000000001111100100
+11101001000000100011111001000000
+00001110000100000000001111100100
00000000111110010000010000111110
01000000000011111001000000000011
11100100000000001111100100000000
-00111110010000000000111110010000
-00000011110010100000000001100000
+00111110010000001000111110110000
+00010011110010100000000001100000
00000000000000000000000000000000
00101000000100001010000000000000
-11110000000000000011001000000100
+10110000000010001011001000000000
00001111100000000000001111100000
-00000000111110000000000000111110
+00000000110010000000001000110010
00000000000011111000000000000011
11100000000000001111100000000000
00111110000000000000111110000000
-00000011110010100000010000100000
+00000011000010100000010000100000
00000000000000000000000000000000
-00101000000001010010100000000000
-10111010000000000010001110000000
-00001011101000000000001011101000
-00000000101110100000000000101110
-10000000000010111010000000000011
-10101000000000001011101000000000
-00101110100000000000101110100000
-00000010110010100000000001000000
+00101000000001010001100100001000
+10111110010000100010001110100000
+10001011101000000000001011101000
+00000000101010100000000000110110
+10000000000010111010000000000010
+11101000000000001011101000000000
+00101111100000000000101110100000
+00001010000010100000000001000000
00000000000000000000000000000000
-00101000000001010100110001000000
-10110011000000000010000011001000
-01001011001100000000001011001100
-00000001101100110000000000101100
+00101000000001010100111111000000
+10110011110100000010000011001000
+00001011001100000000001011101100
+00000000100110110000000000100000
11000000000010110011000000000010
11001100000000001011001100000000
-00101100110000000000101100110000
-00000010110010100000000001010000
+00101100100000000000101100110000
+00000010000010100000000001010000
00000000000000000000000000000000
10100000000000010001110000000000
-10110111001100001010000111000000
-01001011010100000000001011011100
-01000001101101110000000000101101
+10110111000000010010000111010000
+00001011011100000000001011011100
+00000000101101110000000100100101
11000000000010110111000000000010
11011100000000001011011100000000
-00101101110000000000101101110000
-00000010111010000000000001000000
+00101101100000000000101101111000
+00000010001010000000000001000000
00000000000000000000000000000000
-10101000000010000001101001000000
-11111111100100000010000110100000
-00001111011110010100001111011110
-00000000111101111000000000111101
+10101000000000000001111000000000
+11110110100000000011000110100000
+00001111011110000000001111111110
+11000000110111111000000000110001
11100000000011110111100000000011
11011110000000001111011110000000
-00111101111000000000111101111000
-00000011111010100000001000000000
+00111101101000000000111101001000
+10000011001010100000001000000000
00000000000000000000000000000000
-00001000000111011010100010010000
-11111011000000000011111010000000
-00001111100100100000001111101100
-00000000111110110000000000111110
+00001000000111011010110000010000
+11110011000000000011111011000000
+01001111101100000000001111101100
+00000000111010110000000000111110
11000000000011111011000000000011
-10101100000000001111101100000000
-00111110110000000000111110110000
+11101100000000001111101100000000
+00111110100000000000111110000000
00000011110000100000011001100000
00000000000000000000000000000000
-00000000000001011111111001000000
-11001111100000000011000111100000
-00001100111110000000001111111110
-00000000111111111000000000111111
+00000000000001011111111000000000
+11111111100000000011001111100000
+00001100111110010000001111111110
+00100000110011111000000000110011
11100000000011111111100000000011
11111110000000001111111110000000
-00111111111000000000111111111000
+00111111101000000000111111111000
00000011110000000000000001110000
00000000000000000000000000000000
-10101000000100011001110000000000
-11010111000000000010000110000000
-00001000010100010000001011011100
-00000000101101110000000000101101
+10101000000100011001100000100000
+10110111000000000010000111000000
+00101000011100000000001011011100
+00000000110101110000000000110101
11000000000010110111000000000010
11011100000000001011011100000000
-00101101110000000000101101110000
-00000010111010100000010001100000
+00101101100000000000101101010000
+01000010111010100000010001100000
00000000000000000000000000000000
-00000000000000001011100000001000
-10000111000100000010000110000000
-00001000011100000000001011011100
-00000000101101110000000000101101
+00000000000000001001110001000000
+10110010000000000010000011000000
+00001000011100000010001011011100
+00000000100001110000000000100001
11000000000010110111000000000010
11011100000000001011011100000000
-00101101110000000000101101110000
+00101101100000000000101101100100
00000010110000000000000000100000
00000000000000000000000000000000
-00100000000101001100100100000000
-00010011010000000010001000000000
-00001000000101000000001011101100
-00000000101100110000000000101100
+00100000000101001100110000000000
+10111001000000000010000011000000
+00001000001100000000001011001100
+00000000100100110000000000100100
11000000000010110011000000000010
11001100000000001011001100000000
-00101100110000000000101100110000
+00101100100000000000101100000000
00000010110010000000010000110000
00000000000000000000000000000000
-10101000000101011010010101000000
-10001111010010000010001011000000
-00101100101000100000001111111100
-00000000111110110000000000111110
-11000000000011111011000000000011
+10101000000101011010111000000000
+11111011000000001011001011000000
+00001100101100000000001111111100
+00000000110011110000000000110010
+11000000000011111011000000000010
11101100000000001111101100000000
-00101110110000000000111110110000
+00111110100000000000111110110000
00000011111010100000010001100000
00000000000000000000000000000000
-10000000000000001110010100000100
-11110011001000100011111011000000
-10001111101100000000001111101100
+10000000000000001110110000000100
+11111001010000010011111011010000
+01001111101100000100001111101100
00000000111110110000000000111110
11000000000011111011000000000011
11101100000000001111101100000000
-00111110110000000000111110110000
+00111110100000000000111111010000
00000011111000000000000000110000
00000000000000000000000000000000
-00000001000100001111010100000000
-11111111000000001011001101000000
-00001100111010001000001111111100
-00000000110111110000000000111111
+00000001000100001101110001000000
+11011110000000000011001101100000
+00101100011100000000001100111100
+00000000111110110000000000111111
11000000000011111111000000000011
11111100000000001111111100000000
-00111111110000000000111111110000
-00000011110000000100010000110000
+00111111100000000000111111100000
+00001011000000000100010000110000
00000000000000000000000000000000
-10000001000001000110010000000000
-10111011000000000010001001101000
-00001010101110010000001011101100
-00000000100010110000000000101110
+10000001000001000110011100000000
+10001001100001000010100011000111
+00001000101100000000001000101100
+00000000101110110000000000101110
11000000000010111011000000000010
11101100000000001011101100000000
-00101110110000000000101110110000
-00000010111000000100000000010000
+00101110100000000000101110000000
+00000010001000000100000000010000
00000000000000000000000000000000
10000000000001010010110000100000
-10111011000000000010001011100001
-00001000101000001000001011101100
-00000000100110110000000000101110
-11000000000010111011000000000110
+10011010110000000010001011000000
+00001000101100000100011000101100
+00001000101110110000011000101110
+11000000000010111011000000000010
11101100000000001011101100000000
-00101110110000000000101110110000
-00000010111000000000000001000000
+00101110100000000000101100111000
+00000010001000000000000001000000
00000000000000000000000000000000
-00001000000001000000110000000100
-10110011000000010010000010000000
-00001010001100000000001011001100
-00000000100000110000000000101100
+00001000000001000000110000000000
+10000000000000000010100011000000
+00001000001100000000001000001100
+00000000101100110000000000101100
11000000000010110011000000000010
11001100000000001011001100000000
-00101100110000000000101100110000
-00000010110000100000000100000000
+00101100100000000000101100010000
+00000010000000100000000100000000
00000000000000000000000000000000
-00000000000011010110110000000001
-11110111000000000011001001000000
-00001100101000000000001111101100
-00000000110110110000000000111110
+00000000000011010110110000000000
+11011010000000000011001011000000
+01001100101100000000101100011100
+00000000111110110000000000111110
11000000000011111011000000000011
11101100000000001111101100000000
-00111110110000000000111110110000
-00000011110000000000001101010000
+00111110100000000000111110100000
+00000011000000000000001101010000
00000000000000000000000000000000
-10100000000111011101110000010000
-11111111000000100011111100000000
-00001111111100000000001111111100
+10100000000111011111110000000100
+11111100000000000011111111000000
+00101111111100000000001111111100
00000000111111110000000000111111
11000000000011111111000000000011
11111100000000001111111100000000
-00111111110000000000111111110000
+00111111100000000000111111000000
00000011111010000000011001110000
00000000000000000000000000000000
11000000000001011111111000000000
-11111111100000000011111111100100
-00001111111110000000001111111100
-10010000110011011001000000110011
-11001000000011001101100000000011
-11010110000000001111110100000000
-00110011110000000000110011101000
-00100011001100000000000001110000
+11111111100000000011011111100000
+00001111111100100000001011111101
+00000000110011001000000000110001
+00100000000011001100000000000011
+00111000000000001100010110000000
+00110011110000000000110011110000
+00001011001100000000000001110000
00000000000000000000000000000000
10000000000110001110111000000000
-10111011100001000010111011000000
-00001011101100000000001011011100
-01000000100010000010000000100011
-11010000001010001001100000000010
-11100010000000001011100110000001
-00100010111000001000100010111000
-00000010101100000000010000110000
+10111011000000000010001011100000
+00001011111100010000000011111101
+01000010100010001000000000100010
+00100000001010000010000101000010
+10100010000000001000100010000100
+00101010111000000100100010011100
+00000010001100000000010000110000
00000000000000000000000000000000
-10001000000001011100110000000000
-10110011000000000010110011000000
-00001011000100000000001011001100
-00000010100010110010000000100000
-11000100000010000011000000100010
-11000100000000001011001000000000
-10100000110000000000101010110000
+10001000000001011000110000000000
+10110011000000000010000011000001
+00011011001100000001011011001100
+10000000100000000000000000100010
+00000000000010000010000000000010
+00000010000000001000101000000001
+00100000100000000000100010010100
00000010001100100000000101110000
00000000000000000000000000000000
-11000000000001010010110000000000
-10111011000000000000111011000000
-00000011100100000000001011101100
-00000000100010110110000000100000
-11000000001010001010110000000010
-11100011000000001011100110000000
-00100010110000000010100010110000
-00000010101100000000010001100000
+11000000000001011110110000000000
+10110011000000000010001011000000
+00001011101100000001011011101100
+00010000100010101000000010100010
+00000010000010001011100000000010
+10100110000000101000101010001000
+00101010100000100000100010011000
+00000010001100000000010001100000
00000000000000000000000000000000
-01000000000101010110110000000000
-11111011000000000011111011000000
-01001111100110000000001111101100
-00000000110010010000001100110010
-11000000000011001011110000000011
-11101010000000001111100111000000
-00110010111100000000111010110100
-10000011000100000000010001110000
+01000000000101011110110000000000
+11111001000000000011001011000000
+00001111101100000000001111101100
+00010000110000001000000000110010
+00100100000011001010000000000011
+00101010000000001100000010000000
+00110000111001000000110010010000
+00000011000100000000010001110000
00000000000000000000000000000000
11100000000000011011110000000000
-11111111000000000011111111000000
-00001111110110010000001111111100
-00010000111111101000000010111110
-11000000000011111100000000010011
-11111000000000001111000100000000
-00111111111010000000111111110000
+11111101010000011011111111000000
+00001111111100000000001111101100
+00100000111111000000000000111111
+00000000000011111110000000000011
+11001000000000001111110000000000
+00111111110000000000111110010000
00000011111110000000000001100000
00000000000000000000000000000000
01000000000100001010110000000000
-11111011000000000011111011000000
-00001110100100000000001111101100
-00000000110010110000000000111110
-11000101000011001011000000000011
-00101101000000001101101000000000
-00110000110000000000110010111000
-00100011000100000000010000100000
+11111011000000000011011011000000
+00001111101100010000011100001100
+00000000110010100010010000111110
+00000000000011000010100010000011
+00100000000000001100101000000000
+00110010010010000000110010010000
+00000011000100000000010000100000
00000000000000000000000000000000
11001000000001010010110000000000
-10111011000000000010111011000000
-00001000100100000000001011111101
-01000000101000110000010001100111
-11000100001010001011000100000011
-01101000000000001000101101010000
-00100010110101000010100000110100
-01010011011101100000000001000000
+10111011000000000010001011000000
+00001011111101010000001010111101
+10000000100010111100000000101100
+00000000000010001011000000000010
+00100100000000001000101010100000
+00110110001100000000100000010010
+01010010001101100000000001000000
00000000000000000000000000000000
11000000000001000100110000000000
-10110011000000000010110011000000
-00001011001100000000001011101100
-00000001100000010000000000101100
-11000000000010000010000000000010
-00000000000000011001001100000000
-00100000000000001000100000110000
-10000110001110100000000001010000
-00000000000000000000000000000000
-00100000000000000101111000000000
-10110111100000000010110111100000
+10110001000000000110000011000000
+00001011001110000000001001001110
+00000000100000100101000000101100
+00100100000010000010010000110010
+00001010010100001000000011100000
+00100000110101000000100000010000
+00001010001110100000000001010000
+00000000000000000000000000000000
+00100000000100000101111000000000
+10110101100000000010000111100000
00001011011110000000001011011110
-00000000001001011000000000101101
-11100100000010000111100000010010
-01110010000000011000010110000000
-10100000101001000000100011111000
-00000010011011000000000001000000
+00000000100001101000000000101101
+00100000001110000110100000000010
+00010010000000001000110010000000
+00100101111000000010100001011100
+00000010001011000000000001000000
00000000000000000000000000000000
01001000000010000100110000000000
-11110011000000000010111011000000
-00001111001100000100001111001100
-00000000110000110001000000101100
-11000100000011000011000110000011
-00001000000000001101001101000000
-00110000110000000100110000110000
+11111001000000001011000011000000
+00001111101100100000001001001100
+00000000110000100000000000111100
+00001000000011000010001000000011
+00001000000000101100000000000000
+00110000110000000100110000010000
00001011000100100000001000000000
00000000000000000000000000000000
-01000000000111011011110000000000
-11111111000000000011111111000000
-00001100111100010000001111111100
-00100100111111110000010000110111
-11010100000011110111000000000000
-11110000010000000011110100000000
-00111111110000000000111101110100
+01000000000101011011110000000000
+11111101000000000011101111000000
+00001111111100000000001110111100
+01100000010111100000000000111111
+11010000001010111111010000001011
+11110000000001001111111000000000
+00111101110001000000111111010001
00000011110100000000011001100000
00000000000000000000000000000000
-00001000000001011110110000010000
-11111011000000000011111011000000
-00001111100100000000001100101100
-10000000110010110000000000111110
-11010000001011001011000001000011
-11101000000100001111101100000000
-00111110010000000000110000110100
-00000011001010100000000001110000
-00000000000000000000000000000000
-01001000010110010001110000000000
-10110111000000000010110111000000
-00001011010100000000001000011100
-10100000100001100000000100101101
-11001000000010000111000000100010
-11011000000000001011011100000000
-00101101100000000000100001110000
+00001000000001011110110000001000
+11111001000000000011111011000000
+00001111101101000000001111101111
+00100010110000101000000000110010
+00000000001011001010000000100011
+00101000000000001100100000000000
+00111110110000000000110010010000
+00000001001010100000000001110000
+00000000000000000000000000000000
+01001000010110011001110000000000
+10110101000000000010110111000000
+00001011011100001011001011111100
+10000000100001100000000000100001
+00000000001010000110000000001010
+00011000000001001000010000000000
+00101101110000000000100001010000
00000010001100100000010001100000
00000000000000000000000000000000
-00100000000000000001111000000000
-10110111100000000010110111100000
-00001011010110000000001000001110
-10000010100001111000001000101101
-11100100001010000110100000000010
-11011010000000001011011110000000
-00101100011000000000100011111000
+00100000000000001001111000000000
+10110101100000000010110111100000
+00001011011110010000001011011110
+00000000100001101000100000100001
+00100000001010000110100000000010
+00001010000000001000011010001000
+00101100111000000000100001011000
00000010001000000000000000100000
00000000000000000000000000000000
01101000000001001100110000000000
-10110011000000000010110011000000
-00011011100100010100001000001100
-00000000100010110000100000101100
-11000000001010000011100000000010
-11001001100000001011001110000010
-00101100110100000000100000110110
-00001010000100100000010000110000
+10110001100100000010110011000000
+00001011001100000000001011001100
+00000000100000110100000000100000
+11000000100010000011100100000010
+00001111011000001000001010000010
+00101100010111000100100000011000
+00000010000100100000010000110000
00000000000000000000000000000000
-11101000000101011010100000000000
+11101000000101011110100000000000
11111010000000000011111010000000
-00001111101010000000111100101000
-00000000110011101000000000111110
-10000000000011001110111000100011
-11111011000000001111111010100000
-00101111101110000000110000100100
-00000011001110100000010001100000
+00001111101000000000001111101000
+00001010110011101000000000110010
+10000000000011000010000001001011
+00111001000000001100111000010001
+00111111101100000010110011101000
+00001011001110100000010001100000
00000000000000000000000000000000
-01001000000000011110000000000000
+01001000000000011010000000000000
11111000000000000011111000000000
-00001111100000000000001111000000
-00000000111110000001000000111110
-00000000001011111000011000000011
-11100000010000001111100000000000
-00111110000000001010111110000000
+00001111000000000000001111100000
+00000010111110000100000010111110
+00000000000011111000000000000011
+11100000000001101111100000000000
+00111100000000010010111110000001
00000011110100100000000000110000
00000000000000000000000000000000
00001000000100001010010000000000
-11111001000000000001111001000000
-00000111100100000000001100100100
-00000000110010010000000000110010
-01001001000011001001000000000011
-11100100000010001111000100000000
-00110000010000100000110010110000
-00000011000000100000010000110000
+11111001000000001011001001000000
+00001111100100000001001100100100
+00000000111110011000100000111110
+11101000011111001011100100000011
+00000110000000101100100100000000
+00111110010100000000110000010000
+00000011100000100000010000110000
00000000000000000000000000000000
10000000000001000110010000000000
-10111001000000000010111001000000
-00001011100100000000001000100111
-00000010100010010000000000100010
-01111000000010101001011000000010
-11100100000000001011100100000000
-00100010010000000010100010010000
+10110001000000000010001001000000
+00001011100101000000101000100100
+00110000101110010000100000101100
+01110000001010001001011001000010
+00100110000000001000100100110000
+00101110011000000000100010010000
00000010001000000000000000010000
00000000000000000000000000000000
00111000000001010010010000000000
-10111001000000000010111001000000
-00001011100100000000001000100101
-01000000100010010000000000100000
-01000000001010001001000100000010
-11100100000000001011100100000000
-00100010110000000000100010010000
-00000010000001100000000001000000
+10111001000000000010001001000000
+00001011100101000000001000100110
+00000000101110010001001100101110
+01000010011010001001000000000010
+00100100100000001000100100000000
+00101110010000000000100010010000
+00000010100001100000000001000000
00000000000000000000000000000000
-00101000000001000000010000000000
-10110001000000000010110001000000
-00001011000100000000101000000100
-10100000100000010000000010100000
-01001010000010100001000000010010
-11000100000000001011000100100000
-00100000010010000000100010010000
+00101000000101000000010000010000
+10111001000000000010000001000000
+00001011000100101000001000000100
+10110000101100010000000000101100
+01000000000010000001001000001010
+00000100100100001000000100000000
+00101100010010000010100000010010
00001010000000100000000100000000
00000000000000000000000000000000
10111000000011010110000000000000
-11111000000000000011111000010100
-00001111000001010000001100100001
-11000000110010000000000000110000
-00011100001011001000000000010011
-11100000000000001111100000000000
-10110010000000000000110010000000
-00000011001011100000001101010000
+11111000010100000011001000000000
+00001111100001110000001100100001
+11000000111110000000001000111110
+00000000000010000000010100000011
+00100000000000001100100000000001
+00111110000000000000110010000000
+01000011101011100000001100010000
00000000000000000000000000000000
-10011000000111011110010000000000
-11111001000000000011111001000000
-00001111110100000000001111100100
-00000000111111010010100000111110
-01000000000011111101000001000011
-11111100000000001111110100010000
-00111111010001000000111110010000
-00000011111001100000011001110000
+10011000000001011110010000000000
+11111101000000000011111001000001
+10001111100100000000001111100100
+00000000111111010000000000111100
+11000000000011111001000101000011
+11110100010000001111110100000000
+00111111010001000000111101010001
+00000011111001100000011101100000
00000000000000000000000000000000
-00011000000101011110010000000000
-11111001000000000011111001010000
-00001111000101000100001111110100
-00000000110010010000000000111111
-01100110000011100101000000000011
-00100100000000001111100100000000
-00110010010010100000110010010000
+00011000000001011110010000000000
+11111001010000000011111001000000
+00001111110100001000001100110100
+01100000110001010000000000111111
+01000000000011001101010000000011
+00110100101000001111110100000000
+00110011010010000000110011010010
00000011000001100000000001110000
00000000000000000000000000000000
-00111000000100001110000000000000
+00111000000110001110000000000000
10111000000000000010111000000000
-00001011100000000010001011100001
-01000010100000001000000000101110
-00010000000010001000000000000010
-00100000000000001011100001000000
-10100010000100000000100011000000
-00001010000011100000010000110000
+00001011100000101000100000100001
+00000000101010000000000000101110
+00000000000010000000010000000010
+00100001000000001011100000000001
+00111010000100000000100010000100
+00000010000011100000010000110000
00000000000000000000000000000000
-01001000000000001100010000000000
-10110001000000000010110001001000
-00001011000100100000001011000100
-10100000101000010010100000101100
-01001000000010101001000000100010
-10000100000000001011000101000000
-00100101011000000010100011010000
-00000010000100100000000101110000
+01001000000001011100010000000000
+10110001001000000010110001000000
+00001010000100000100001000000100
+10000010100000010000000000101100
+01000000000010000001011000000010
+00000110000000001011000100000000
+00100100010001000000100100010100
+00000110000100100000000101110000
00000000000000000000000000000000
-00011000000101011010010000000000
+00011000000001011010010000000000
10111001000000000010111001000000
-00000011100100100000001011100100
-00000100101010010100000000101110
-01000000001010001001000000001010
-10100100000000001011100110000000
-00100111010000000100100011010000
+00010011100100000000001000100100
+00000000101010010000000100101110
+01000001101010000011000000000010
+00100100100000001011100100000000
+00101010010001000000100110110001
00000010000001100000010001100000
00000000000000000000000000000000
-10100000010101011110010000010000
-11111001000000000001111001000000
-00001011100100000000001111100100
-00000000111010011000000000111110
-01000000000011101001010010000011
-10100111000000001111100100100000
-00010110010101000100110010010010
+10100000000101011110010000000000
+11111001100000000011111001000000
+00000110100100000001001100100100
+00000001110010011110000000111110
+01100001000011001001110010000011
+00100111000000001111100101100000
+00110110011000100010110110010000
00001011001010000000010001110000
00000000000000000000000000000000
01001000000000011010010000000000
-11111001000000000011111001000000
-00001111100100000000001111000100
-00000000110110010010000000111110
-01000000000011111001000100010011
-01100110100010001111000100000000
-00111000011000001000111110010000
-00000011110110100000000001100000
+11111001001000000011111001000000
+00001111000100000000001111100100
+00000000111110010100000000111110
+01001000000011111001001000001011
+11100110000000001111100111000000
+00111100011000000000111000011000
+10000011110110100000000001100000
00000000000000000000000000000000
00001000000100001010000000000000
11111000000000000011111000000000
-00001111100000000000001100100000
-10010000111110000000000100110000
-00000000001011001000010000000011
-00100001000000001111100000000000
-00111110000000000000110011000010
-00001011000010100000010000100000
+00011110100000000000001100100000
+00110000111110000001100000110010
+00010000010011001000011000001011
+01100000000000001100100000000000
+00111110000010000010110010000000
+00000011100010100000010000100000
00000000000000000000000000000000
00101000000001010010100000000000
10111010000000000010111010000000
-00000011101000000000001000111010
-00010000101110100000000010100011
-10000000000010000110011000000010
-00101000000000001011101000000000
-00101110101000001010100000100100
-00000010100010100000000001000000
+10001011111000000001001000111000
+00100000101111101000000000100001
+10000001000010001110111001000010
+00101000001001001000011000110000
+00101111100110000000100011100000
+00000011010010100000000001000000
00000000000000000000000000000000
00101000000001010100110000000000
-10110011000000000010110011000000
-00001011001100000000001000001110
-00000100001100110000000000100000
-01000000000010000011101000000000
-00001100000001001011001100000000
-00101100111000000000100000100000
-00000010000010100000000001010000
+10110011000000000110110011000000
+00001010001110000000001001101100
+00000000101100110000000000100000
+11010010001010010011000000000010
+01001110000010111000001011000000
+00101100101000000010100000010001
+00001010110010100000000001010000
00000000000000000000000000000000
-10000000000100011001110000000000
-10110111000000000110110111001000
-01001011111110100000001000011000
-00100000101101111001000000100001
-10000000000010001110000000011010
-00011100000000001011011000000000
-00101100100000101000100011100000
-00000010101010000000000001000000
+10000000000100010001110000001000
+10110111001100000010110111000000
+00011011010001000000001001011100
+00000000101111111000000000100001
+01000000000010010111000000010010
+00000110000000001000011000000000
+00101100010000000001100000110000
+00000010011010000000000001000000
00000000000000000000000000000000
10001000000010001001111000000000
-11110111100000000011110111101000
-00001111011110000000101100010110
-00000000111101111000000000110001
-01100000000011000111100000000011
-00011110000000001111011110000000
-00111101111000000000110001101000
-00001011001010100000001000000000
+11110111101000000011110111100000
+00001110001110000000101101010110
+00001000111101111000010000100001
+00100000000011010111100000000011
+01011110000100001100010010000100
+00111101101000010000110001011000
+00000011111010100000001000000000
00000000000000000000000000000000
00001000000101011010110000000000
-11111011000000000011111011000000
-00001111101101010000001111100000
-00000100111110110010000000111100
-01000000000011111010000000000011
-11101100000000001111101000000000
-00111110100000000000111100100000
+11111011010000000010111011000000
+00001111100000000000001110100100
+00000100111110110000010010111100
+00000000000010101011000001000011
+11100100000000001111100000000000
+00111110010000000000111110110000
00000011110000100000011001100000
00000000000000000000000000000000
-00000000000001001011111000000000
-11111111100000000011111111100010
-00001111111111000000001100111110
-00000000110011111100000000110011
-01100000001011101111100000000011
-11111110000000001111111110000000
-00110111011000000000110001111000
-00001011000000000000000001110000
-00000000000000000000000000000000
-10101000000110001001110000000000
-11110111000000000010110111000000
-00001011111100000000001000011000
-00000000100011110010000000100001
-10001000000010000111000000000010
-11011100000000001011011000001001
-00100001000000000100100001110000
-00000011011010100000010001100000
+00000000000101001011111000000000
+11111111110000010011001111100000
+00000111111110000000001110111110
+01000000111111011010000000111111
+10100000010011001111100000000011
+00111110000000001100011010000000
+00110111111000000000110001011001
+00000011000000000000000001110000
+00000000000000000000000000000000
+10101000000100001001110000000000
+10111111000000000010000111000000
+00001011011000100100001000010100
+00000000101101110000100000101101
+00000000000010000110000100000010
+00010100010000001000010000011000
+00101001010001000010100001010011
+00000010001010100000010001100000
00000000000000000000000000000000
00000000000000001001110000000000
-10110111000000000010110111000000
-00001011011100010000001000001100
-00000000100001110000100100100001
-01000000101010110111000000000010
-11011100000000001011011100000000
-00100000010000000000100011111000
-00000010000000000000000000100000
+10110111000000000010000111000000
+00001011011100000001000011011000
+00010000101101110010000000101101
+00010000000010000111000010000010
+00011100000000001000010000000000
+00100001010100000010100011010000
+00000110000000000000000000100000
00000000000000000000000000000000
-00100000000001001000110000000000
-10100011000000000010110011000000
-00001011001100000000001000001100
-00000000100000111100000000100000
-01000000001010010011110000000010
-11001111010000001011001001000000
-10100000000110000000100000110100
-00000010010010000000010000110000
+00100000000101001000110000000000
+10110011000000000010000011000000
+00001011001000000000011001000000
+00000000101100110100000000101100
+00000000000010000000100001000010
+00000110001010101000000001000000
+00101000010000000000100000011000
+00000010000010000000010000110000
00000000000000000000000000000000
10101000000101011010110000000000
-10111011000000000011111111000000
-00001011111100000000101100101100
-00000010110011110100000000110010
-01000000000011111011010000000011
-11101101000000001111101100000000
-00110010111000000010110000000100
-00001011001010100000010001100000
+11111111000000001011001011000000
+00001011101100000000001111101100
+00000000111110100001000000111110
+00110000000011001011110000011011
+00101110000000001100101001000000
+00110010111100000000110000011000
+00000011001010100000010001100000
00000000000000000000000000000000
10000000000000001110110000000000
11111011000000000011111011000000
-10001111101100000001001111101000
-00000100111110110000100010111100
-10000000001011101011011100000011
-11101100000000001111101000000000
-00111110101000001000111110000000
-00000011111000000000000000110000
+00001111100000000000001110101001
+00000000111110110100010000111110
+00100000001011111001010010000011
+11100100010000001111101001000001
+00111100111000000000111110110101
+01000011111000000000000000110000
00000000000000000000000000000000
00100001000100001111110000000000
11111111000000000011111111000000
-00001111111100000000001111110100
-00000000111111110000000000110011
-01000000000011001111000000000011
-11111100001000001111011100001000
-00100001110000000000110011001000
-10000011000000000100010000110000
+00001111011100000000001100110100
+00000000111111110000000000111111
+00000000010010001110000100000011
+00101110100000001111110000001000
+00111111110000000010110011010000
+00000011000000000100010000110000
00000000000000000000000000000000
10100001000001000110110000000000
10111011000000000010111011000000
-10001011101100000000011011100010
-01001000101100110000010000100010
-01000000000010001000100000000010
-11101100000000001011101000000010
-10110010100000000000100010001000
+10001011100111011000101000100010
+00000100101110111101100000101100
+00100000000010001000010000000010
+00100100000001001011100011001000
+00101110111100100000100010111100
00000010001000000000000000010000
00000000000000000000000000000000
-10000000000001010010110000010000
-10111011000000000010111011000000
-00001011101100000000001011101100
-00000000101110110000000000100010
-01000000000110001001100010010010
-11101100000000001011101100000000
-00101010010000000000100010010010
-00000010001000000000000001000000
+10000000010001010010110000001000
+10101011000000000010111011000000
+00001011101100000000001000100111
+00000000101110110000000000101110
+00100000000010100011000000000010
+00101100000000001011101010000000
+00101110111000000000100010011000
+01000010001000000000000001000000
00000000000000000000000000000000
-00001000010001000000110000000000
+00001000000001000000110000000000
10110011000000000010110011000000
-00001011001100000000001011001000
-00000000101110110000000000100000
-10000000010110000001000000010010
-11001100000000001011001000000000
-00100100000000000000100000010000
+00001011000000000000011000000000
+00000000101100110000000000101100
+00100001001010100000000000001010
+00000100000001001011001000000000
+00101100110000000010100000100000
00001010000000100000000100000000
00000000000000000000000000000000
00000000000011010110110000000000
-11111011000000000011111011000000
-00001111111100000001001011100100
-00000000111111110000000010110000
-01000000100011001001000000100011
-11101100000000001111101100000000
-00111010010000010000110010010000
+11101111000000000011111011000000
+00001111001100000000001100100000
+00000000111110110000000000111110
+00000000000011100010000001110011
+00101100000100001111100000000001
+00111110010000000000110010010000
00000011000000000000001101010000
00000000000000000000000000000000
10100000000111011111110000000000
11111111000000000011111111000000
-00001111111100000000001111110100
-00000000111111110000000000111111
-01000000001011111101000000000011
-11111100000000001111011000000000
-00111011000000001000111111010000
+00001111110100000000001111110000
+00000000111111010000000100111101
+00000000000000011100000000000011
+11111100000000001111110100000000
+00111101010000000000111111100000
00000011111010000000011001110000
00000000000000000000000000000000
11000000000001011111101000000000
-11101110100000000011111111001010
-00001110111101100000001111111100
-10000000110011110011000000110011
-11001000000011001111100000000011
-11111110000000001100111110000000
+10010111100100000011011111001010
+00001101111100000000001111111100
+00000000110011110010100000111111
+11100000000011111111100000000011
+11111110000000101100111110000000
00110011111000000000110011101000
-00000011111100000000000001110000
+00000011001100000000000001110000
00000000000000000000000000000000
-10000000000100001110101000000000
-10111000100001000010111111110000
-01001000101101000000001011111110
-00000000100011110011000000100011
-11000110000010001011000000000010
+10000000000100001110001000000000
+10111011001000000010001111110000
+00001000011101100000001011111101
+01000000100011111100000000101110
+11100000000010111011000000000010
11101100000000001000001100000000
-00100010110000000000100010100000
-00000010011000000000010000110000
+00100010110000000000100000100000
+00000010001000000000010000110000
00000000000000000000000000000000
-10001000010001011100110000010000
-10110010100000000010110011000000
-00011010001101100000001011001101
-00000000101000110000000000100000
-11000000000010000011000000000010
-11001100000100001000001100000000
+10001000000001011100110000000000
+10111011000000000010010011000000
+00011001001101001001001011001100
+00000000101000110000000000101100
+11000000000010110011000000000010
+11001100000000001000001100000000
00100000110000000000100000100000
-00000010111000100000000101110000
+00000010001000100000000101110000
00000000000000000000000000000000
-11000000000101011010110000000100
-10111000000000000010111011000001
-00011000101100000000001011101100
-00000000100010110000000001100000
-11000000000010001011000000000010
-11101100000000001000101100000000
-00100000111000000000100010100000
-00000010011100000000010001100000
+11000000000101011010000000100000
+10111011000001000010001011000001
+00101000101100000000001011101100
+00000000101010110000000000101110
+11000000000010111011000000000010
+11001100000000001000101100000000
+00100000110000000000100010100000
+00000010001100000000010001100000
00000000000000000000000000000000
-00000000000101011110101101100000
-11101001000000000011110011000000
-01001110101100000000001111101100
-00000010111010110000000000000010
-11000000000011001011000000000011
+00000000000101011110000000000000
+11110011100000000011010011000001
+00001101101100000010000111101100
+00000000111010110000000000101110
+11000000000011111011000000000011
11101100000000001100101100000000
-00110010111010000000110010100000
-00000011110000000000010001110000
+00110010110000000000110010100000
+00000011000000000000010001110000
00000000000000000000000000000000
-11100000000000011011100000000000
-11111100100100000011111111000000
-00001111111100000000001111101100
-00010000111110110000001000111111
+11100000000000011011000000000000
+11111111010000001011111111000000
+00001111111100000000001111111100
+00000000110111110000000000111111
11000000000011111111000000000011
-11111100000000101111111100000000
+11111100000000001111111100000000
00111111110000000000111111100000
-00100011111110000000000001100000
+00000011111110000000000001100000
00000000000000000000000000000000
-01000000000100001010110000000000
-11111001000000100011111011000000
-00001101101100000000001111101100
-00000000110010110000000000111110
-11000000000011001011000000000011
+01000000000100001010110100000000
+11101011000000010011001011001100
+00101101101100000000001100001100
+00000000111010110000000000111110
+11000000000011111011000000000011
11101100000000001100101100000000
00110010110000000000110010101000
00000011000100000000010000100000
00000000000000000000000000000000
-11001000000001010010111101000000
-01101000001000010010111111110100
-00001000111100000000001011011100
-00000000100011110000000000100011
-11000001000010001011000000010010
-11101100000000001000101100000000
-00100010000000000000100010100100
-00000000001100100000000001000000
-00000000000000000000000000000000
-11100000000001010100001100000000
-10110010100000000010110011000100
-01001001001100000000001011001100
-00000000100010110000000000100000
-11000001000010000011000000000010
+11001000000001010010100000000000
+10111011000000010010001111110000
+00001000111100000000001000111100
+00000000100011110000000000101110
+11000000000010111011000000000010
11101100000000001000101100000000
-00100000110000000000100000100000
+00100010110000000000100010100100
+00000010001100100000000001000000
+00000000000000000000000000000000
+11100000000001010100100000000000
+10100011000000000010000011100000
+01001000001100000000011010001100
+00000000001000110000000000101100
+11000000000010110011000000000010
+11001100000000001000101100000100
+00100000110000000010100010100000
00000010001110000000000001010000
00000000000000000000000000000000
-01100000010000010001001000100000
-10100111100101000010110111100100
-00001001011110010000001011011110
-00000000100001111000000000100000
-11100000010010000111100000000010
-11011110000000001000011110000000
+01100000000000010001011000000000
+10111111100000001010000011100000
+00001000011110000000001010011110
+00000000100001111000000000101101
+11100000000010110111100000000010
+11011110000000101000011110000000
00100001111000000000100001101100
00000010000110000000000001000000
00000000000000000000000000000000
-01001000000010000000100000000000
-11110010000000110011110011000000
-00011101001100000010001111001100
-00001000110000110000000000110000
-11000000000011000011000000000011
+01001000000010000000110000000000
+11100011000100000011000011000000
+00001100001100000001001110101100
+00001000111000110000000000111100
+11000000000011110011000000000011
11101100000000001100001100000000
-00110010110000000010110010100000
-00001011000100100000001000000000
+00110010110000000000110000100000
+00000011000100100000001000000000
00000000000000000000000000000000
-01000000000010011011100000000100
-11111111000001000010110111000000
-00001110111100001100001111111100
-00000000111111110000000000110011
-11010000000011111111000000000011
-11111100000000100111111100010000
-00111111110001000000111111100000
+01000000000111011011010000100000
+11111111000001000011111111010110
+00001110111101001001101101111100
+00100000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111100000
00000011110100000000011001100000
00000000000000000000000000000000
-10101000000001011110010100000000
-11111001111010000011000011000000
-00001110101100000000001100101100
-11000000111110111100000000110110
-11110100000011001011000000000011
-00101100000100001100101100000001
-00110010111000010000110010100000
+10101000000001011110000100000000
+11111001000001000011111011010000
+00001100101100000000001111101100
+10010000111110110000000000111110
+11000000000011111011000000000011
+00101100000000001100101100000000
+00110010111000000000110010100000
00000011001010100000000001110000
00000000000000000000000000000000
01001000000100011001010000100000
-10110111010000100011010111001100
-00001000011100100000101000011100
-10100000101101110111000000100001
-11000000001010000111000000000010
+10110111000000000010110111001000
+00001000011100000100001011011100
+00100000101101110111000000101101
+11000000000010110111000000000010
00011100000000001000011100000000
00100001110000000000100001100000
00000010000100100000010001100000
00000000000000000000000000000000
-11000000010000001001111001001000
-10111101100010000110100111101100
-00011010011110000000001000011110
-00000000101101111000000000100100
-11100000000010000111100000000010
-01011110000000001010011110000000
-00100001111000100000100001101000
+11000000010000001001111000000000
+10110111100000000010110111101000
+10011000011110100000001011011110
+10000001101101111000000000101101
+11100000000010110111100000000010
+00011110000000001000011110000000
+00100001111000000000100001101000
00000010001100000000000000100000
00000000000000000000000000000000
-01001000000101001100110100000000
-10110011000000000010110011000000
-00011010101100000000011000001100
-00000000101100110000000000100000
-11000000000010000011000000001010
-01101100000000101010001100000000
-10100000111100000010100010100000
+01001000000101001100110000000000
+10111011000000000010110011000000
+00011000001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000001010
+00001100000000101000001100000000
+10100000110000000010100010100000
00001010000100100000010000110000
00000000000000000000000000000000
-11101000000101011010100000000000
-11111010100000000011101010000000
-10001110101000000000001100101000
-00000000111110100000000000110110
-10000000000011001010000000000011
-01101000000000001110101000000000
-00110011100000000000110011100000
+11101000000101011010100010000000
+11111010100010000011110010000000
+00101100101000000100001111101000
+00000000111110100000000000111110
+10000000000011111010000000000011
+00101000000000001100101000000100
+00110010100000000000110011100000
00000011001110100000010001100000
00000000000000000000000000000000
-01001000000000000110000000000000
-11111000110000000011011000000000
-00000101100000000000001111100000
+01001000000000001110000000000000
+11111000000000000011111000010000
+00001111000000000000001111100000
00000000111110000000000000111110
00000000000011111000000000000011
-10100000000000000001100000000000
-00111110000000100000111110000000
+11100000000000001111100000000000
+00111110000000000000111110000000
00000011110100100000000000110000
00000000000000000000000000000000
-00001000000100001110010000100000
-11111001000000000010110001100000
-00001100100100000000001100100110
-01000001111110010000000000101110
+00001000000100001110011001000000
+11111001000000000011001001000100
+00001100100100000000001100100100
+00000000111110010000000000111110
01000000000011111001000000000011
-00100110100000001100100100000011
-10110010010000001000111110010000
+00100100000000001100100100000000
+10110010010000000000111110010000
00000011000000100000010000110000
00000000000000000000000000000000
-10000000000001000110110000001000
-10111011010000000010111001100100
+10000000000001000110110000000100
+10111001000000000010001001101000
00001000100100000000001000100100
00000000101110010000000000101110
01000000000010111001010000000010
-00100111000000001000100101000000
-00100010010100000100111010010100
+00100101000000001000100101000000
+00100010010100000100101110010100
00000010001000000000000000010000
00000000000000000000000000000000
00011000000001010010010000000000
-10111001000000000010111001000000
-00001000100100000000001000100100
+10111001000000000010001001000000
+00101000100100000000001000100100
00010000101110010000000000101110
01000000000010110001000010000010
-00100100001001001000000100001100
+00000100001100101000000100001000
00100010010000100000101110010000
10000010000001100000000001000000
00000000000000000000000000000000
-00001000000001000000010000000000
-10110011000001000010110001001010
-00001000000100100000001000000100
+00001000010001000000010000000000
+10110001000000010010000001001010
+01001000000100101000001000000100
10100000101100010010100000101100
-01001010000010110001000001000010
+01000000000010110001000000000010
00000100000000001000000100000000
-00100000010000000000101000010000
+00100000010000000000101100010000
00000010000000100000000100000000
00000000000000000000000000000000
10111000000011010110000000000000
-11111000000000010011111010001000
-00101100000000000001001100100000
-10001000101100000010000000111110
-00001000000011110000010101000011
-00000001010000101100000001010000
+11111000000000001011001000001000
+00001100100000100000001100100001
+11000000111110000010000000111110
+00000000000011110000010100000011
+00000001010000001100000001010000
00110000000101000000111100000101
00001011001011100000001101010000
00000000000000000000000000000000
-10011000000111011110110000000000
-11110001000000000011111001000000
-00001111100100111000101111100100
-00000000111110010010100000111110
-01001010000011111001100000001011
+10011000000111011110010000000000
+11111101001010010011110001000000
+00001111100100101000101111100100
+00000000111110010000000000111110
+01000000000011111001100000001011
11100110000000001111100110000000
-10111111011000000000111010011000
+00111110011000000000111110011000
00000011111001100000011001110000
00000000000000000000000000000000
-10011000000001011010010000000000
-11111001000000100011110101001000
-00101100100100101000001100110100
-10000000111110010000000000111110
-01000000000011111001010000000011
-11100101000000001100100100000000
-00111110010000000000110010010100
-00000011001001100000000001110000
+10011000000001011010010000000001
+11111001000100000011111101001000
+00001101100100101000001111100100
+11000000110010010000000000110010
+01000000000011111001000100000011
+00100100000000101101100100000000
+00110010010001000010110010010000
+00000011111001100000000001110000
00000000000000000000000000000000
-00111000000100001110000000000000
+00111000000100001110000000001000
10111000000000000010111000000000
-00001000100000100000101000100000
-01000000101110000100100000101110
-00010111000010111000000000000010
-11100000100000001000100000100100
-00101100100010010000101011000000
-00000010000011100000010000110000
-00000000000000000000000000000000
-00001000000001001100010000000000
-10110001000000100110110001000100
-00001000000100101000001000000100
-00000001101100010011000000101100
-01000000000010110001000000000010
-11010100100000001000010100001000
-00101111010000100000100001010010
-00000010000000100000000101110000
+00001000100000100000001011100000
+11000000100010000100000000110110
+00000000000010111000000000000010
+00100000101000001000000000100000
+00100010000010000000100011000000
+10000010110011100000010000110000
+00000000000000000000000000000000
+00001000010001001100010000000000
+10110001000000000010110001000100
+00011001000100101000001011000100
+10000000100000010001000000100000
+01000001000010110001000000000010
+00010100100001001000010100101100
+00100001010010000000100001010010
+00000010110000100000000101110000
00000000000000000000000000000000
-00011000000101011010010001000001
-10111001000000010110111001000000
-00001000100100000000001000100100
-00000001100110010000000000101110
-01000000000110111001000000000010
-11010100000000001000110100000000
-00101111010010000000101001010000
-00000010000001100000010001100000
+00011000000101011010010010000001
+10111001001000000010111001000000
+00001000100100000000001011100100
+00000001100010010000000000100110
+01000000000010110001000000001010
+00110100000000001000110100000000
+00100001010000000000100011010000
+00000010110001100000010001100000
00000000000000000000000000000000
-10100000000100011110010100000000
-11111001000000100011111001000000
-01001100100100000000001000100100
-00000100111110010000000000111110
-01000000000011111001000001000011
-11100100000000001100100100000000
-00111100010100000000110010010000
-00001011001010000000010001110000
+10100000000101011110011000100000
+10111001100000010011111001000000
+00001101100100000000001111100100
+00000100110010010000000000110010
+01000000000011111001000000000011
+00100100000000001100100100000000
+00110010010000000000110010010000
+00000111111010000000010001110000
00000000000000000000000000000000
-00101000000000011010011000010100
-11111001000000000011111001000000
-00001111000100000000001111100100
-00000000111110010000000000111110
+00101000000000011010010000100000
+11111001100000000011110001000000
+00001111100100000000001111100100
+00000010111110010000000001111110
01000000000011111001000000000011
-11100100000000101111100100000000
-00111110010000000000111110010000
+11100100000000001111100100000000
+10111110010000000000111110010000
00000011110010100000000001100000
00000000000000000000000000000000
-00101000000100001010000100000100
-11111000001100100011101000000010
-00101100100000000000001100100000
-01000000110010000000000000111110
+00101000000100001010000100000001
+11101000000000010011111000001001
+00001111100000000000001100100000
+00000000111100000000000000111110
00000000000011111000000000000011
-11100000000000001111100000000000
-00110010000100000010110011000000
+11100000000000001100100000000000
+00110010000000000000110011000000
00000011000010100000010000100000
00000000000000000000000000000000
00101000000001010010100000000000
-10111010010000000010110110000000
-00001000101000000001001101101000
-00000000100010100000000000100010
+10111010000000010010111110100000
+00001011101000000000001000101000
+00000000101110100000000100101110
10000000000010111010000000000010
-11101000000000001011101010000000
-00100010101000000000100011100000
+11101000000000001101101010000000
+00100010100000000000100011100000
00000010000010100000000001000000
00000000000000000000000000000000
-00101000000001010100110000000000
-10110011000000000010100011000100
-00101001001100000000001000000110
-00000000100100110000000000101000
-11000000000010110011000000000010
-11001100000000001011001110000000
-10100000111000000000100000101000
-00000010000010100000000001010000
-00000000000000000000000000000000
-10100000010000010001110000000001
-10110111000000100010110111000000
-01011001001100010000001001010110
-00000001100101111010000000100001
-11000100000010110111000000000010
-11011100000000001011011110001000
-00100001110000100000100001100100
-00000010001010000000000001000000
-00000000000000000000000000000000
-10101000000010000001111001000000
-11110111100100000011100110100000
-01001101011110001100001100000110
-00000010110100111100000000111101
-11101000000011110110100000000011
-11010010000000001111111010000000
-00110001101000000000110011111000
-00001011001010100000001000000000
+00101000000001010100111100000000
+10100011000000000010110011010000
+00001011001100000000111000101100
+00000000101100110000000101101000
+11000001000010110011000000000010
+11101110000000001000101110000000
+00100000111000000000100000101000
+00000010010010100000000001010000
00000000000000000000000000000000
-00001000000111011010110010010000
-11111011001000100010111011000000
-00001110101101100000001111100100
-00000000111010110010100000111110
-11011010000011111010000000000011
-11100000000000001111101000000000
+10100000000000010001110000110000
+10110111000000000010110111010000
+00011011011110010000001000011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011101000000011001011100001000
+00100001110100000000100001101100
+00000010011010000000000001000000
+00000000000000000000000000000000
+10101000000010000001011000000000
+11100111110010010011110111100000
+00001011111110000000001100011110
+10000000111101111000000000111101
+11100000000011110110100000000011
+11111010000000101100011010000000
+00110011101000000010110011111000
+00001011011010100000001000000000
+00000000000000000000000000000000
+00001000000011011010010100110000
+11111011011000000011111011000000
+01001111101100000001001111101100
+00000000111110110000000000111110
+11000000000011111010000000000011
+11101000000000001111101000000000
10111110100000000000111110110000
-00000011110000100000011001100000
+00000011100000100000011001100000
00000000000000000000000000000000
01000000000001011111111000000000
-11001110100000000010111111100100
-00001110111110000000001111111110
-00000000111111111000000000111111
+11001111100000010011110111100000
+10001100111111000000001100111110
+01100000110011111000000000110111
11100000000011111111100000000011
-00111110000000001100110110000000
-00110011011000000000110011001000
+11110110000000001100110110000000
+00110011011000010000111111001000
00000011000100000000000001110000
00000000000000000000000000000000
10101000000100011001110000000000
-11010100000100000010110111001100
-00001000011100010000001011011100
-00000000101101110000000000101101
-11000000000010110111000000001010
-00011100000000001000110100000000
-00100011010000000000100001000000
+11010111000000000010110111000000
+00101000011100100000101000011100
+01001010100001110000000000110101
+11000000000010110111000000000010
+11110100000000001010110100010000
+00100001010000000000101101000000
00000010001010100000010001100000
00000000000000000000000000000000
00010000000000001011110001000000
-10000110000000000010110111000000
-00001010011100000000001011010100
-00000000101101110000000000101101
+10000111000000000010110101000000
+00001000011100000001001000011100
+00000001100001110000000000100001
11000000000010110110000000000010
-00010000010000001000010000000000
-00100001000000000000100001011000
+11010000000000001000010000000000
+00100001000000000000101101011000
00000010000000100000000000100000
00000000000000000000000000000000
-01100000000101001100110000000000
-10010000000000000000110011000000
-00001000001100000001001011000100
-00000000101100110000001000101110
+01101000000101001100111101000000
+00010011100000000000110011000000
+00001000001100000000001000101100
+00000000100000110000000000100100
11000000000010110010000000000010
-00100000000000001001000000000000
-00100000000001000000100000010000
+11100000000000001010100000000000
+00100000000000000000101100010000
00000010000110000000010000110000
00000000000000000000000000000000
-10111000000101011010000000000000
-11001001000110000010111011000000
-00001010111100000000001111100100
-00000000111111110000000000111111
+10101000000101011010101100000000
+00001111100010000011110011000000
+00001000111100000000001100111100
+00000000110010110000000000110010
11000000000011111011000000000011
-00101100000000001100101100000000
-10110010111000000010110010100000
+11101100000000001100101100000000
+10110010110000000000111110100000
00000011001011100000010001100000
00000000000000000000000000000000
-10000000000000001110110000000000
-11111001010000000011110011010000
-00001111101100000000001111100100
+10010000010000001110100100010000
+11111011000000000011111011000000
+00001111101100000010001111101100
00000000111110110000000000111110
-11000000000011111011000000010011
-11101100000000101110101100000000
-00111110110000000000111110100000
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000010000111110100000
00001011111000000000000000110000
00000000000000000000000000000000
-10000000000100001111001000000000
-11111100110001000011111101100000
-00001100111100000000001111110100
-00000000111111110000000001011111
-11000000001011001110000000000011
-11110000000000001100111000000000
-00111111100000100000111111110000
+10000000000100001111000000100100
+11111111000000100011001111000000
+00001101101100000000001111101100
+00000000110010110000000000111111
+11000000000011111110000000000011
+11111000000000001100111000000000
+10110011100000000000111111110000
00000011001000000000010000110000
00000000000000000000000000000000
-10000101000001000110111000000100
-10111000100010000010111011110010
-00001000101100000000001011100100
-00000000101110110000000000101110
-11000000000010001010000000000010
-11100000000000001010101000000000
-00101110100000000000101110110000
-00000011001000000100000000010000
+10000001000001000110000010001000
+10111011000001000010001011110101
+00001000101100000000001011101100
+00000000100010110000000000101110
+11000000000010111010000000000010
+11101000000000001010101000000000
+00100010100000000000101110110000
+00000010001000000100000000010000
00000000000000000000000000000000
-10000000000001010010000101000000
-10111011000000010010111011001000
-00001000101100000000001011101110
-00000000101110110000000000101110
-11000000000010001011000000000010
-11101100000000011000100100000000
-00101110010000000000101100000000
+10000000000001010010110000000000
+10110011000000000010001011010000
+00001001101100000100001011101100
+00001000100010110000000001101110
+11000000000010111011000000000010
+11100100000000001000100100000000
+00100010010000010000101100000000
00000010001000000000000001000000
00000000000000000000000000000000
-00001000000001000000110000000100
-10110001000000000010110011000000
-00101000001100000000001011001100
-00000000101100110000000000101100
-11000000000010000011000000000010
-11001100000000001010000100000000
-00101100010000000000101100000000
+00001000000001000000110000000000
+10010011000000001010000010000000
+00001000001100000000001011001100
+00000000100000110000000000101100
+11000000000010110011000000000010
+11000100000000001010000100000000
+00100000010000000000101100000000
00001010000000100000000100000000
00000000000000000000000000000000
-10000000000011010110000000000000
-11111010000000000111111011000000
-00001100111100000000001111101100
-00000000111111110000000000101111
-11000000100011000010000000000011
-11000000000000001100100000000001
-00111110000000010000111100010000
+10000000000011010110110000000000
+11111111000000010011001011000000
+00001101111100000000001111101100
+00000000110010110000000000111110
+11000000000011111010000000000011
+11100000000000001100100000000000
+00110010000000000000111100010000
00000011001000000000001101010000
00000000000000000000000000000000
-10100000000111011101110000010000
-11111100000000000011110111000000
-00011111111100000000001111111100
-00001000111111110000000000111111
+10100000000011011101110000000001
+11111111000000000011111111000000
+00111111111100000000001111111100
+00000000111111110000000000011111
11000000000011111110000000000011
11110000000000001111110000000000
-00111111000000000000111111010000
-00000011101010000000011001110000
+10111111000000000000111111010000
+00000011111010000000011001110000
00000000000000000000000000000000
-11000000000000011111111000000000
-11111111100000000011111111100000
-00001111110010010000001111111100
-01001100110011000010001100101011
-00100000000011000100100000000011
-11110000000000001100111000000000
-00110011110000001100110011010000
-00100010001100000000000001110000
+11000000000001011111111000000000
+11111111100000000011111111011000
+00001111111100100000001111111100
+01001100001111000000101000011011
+00000000000011111100000000000011
+10110000000000001101110000000000
+00110011000000000000110011100000
+00000011001100000000000001110000
00000000000000000000000000000000
10000000000100001110111000000000
-10111011100000000010111011001010
-00000011101000000000001011111101
-11010000110110000101000100100010
-10100001010010001000100000000010
-11101110000100101000101000000100
-00100010111000000000100010001000
-00000010001000000000010000110000
+10111011100000100010111111010100
+00000011111111010000001011111101
+10000000101110000100000000100010
+00100000000010111000000001000010
+00100000000100001000101010000000
+00100010111000000100101010101100
+00001010001000000000010000110000
00000000000000000000000000000000
10001000000001011100110000000000
-10110011000000000010110011000000
-00001010000100100101001011001100
-00000000100000000010100000101000
-00000000000010000000000000100010
-11000000000000001000100000000000
-00100000110000000010100000000000
-01000010001000100000000101110000
+10110011000000000010110011001000
+00001011001100000000001011001100
+01000000100100000010100000101000
+11000000000010110011000000000010
+10001000000000001001000000000001
+00100000110000010000100000110100
+00000010001000100000000101110000
00000000000000000000000000000000
11000000000101011010110000000000
-10111011000000000010111011000000
-00001011101000000000001011101100
-00000000100110011100000000101010
-11100010001010001010100000000010
-11101111000000001010100100001000
-00100010110100010000100010001100
+10111011000000000110111011000000
+00011011101100000000001011101100
+00000000101110011000000000100010
+01000010000010110001100000000010
+00101001000000101010101100000000
+00100010111000100010101010110000
00000010001100000000010001100000
00000000000000000000000000000000
01000000000101011110110000000000
11111011000000000011111011000000
-00001110100000000000001111101100
-00000000110010001100000100111010
-10110000000011001000100000100011
-11101110000000001100001000000010
-00110010101000000000110010011000
+00001111101100000000001111101100
+00000000110110001100100000111010
+00010101000011111000110000010001
+10100100000000101101101000000000
+00110010011000000010010010001001
00000011000100000000010001110000
00000000000000000000000000000000
11100000000000011011110000000000
11111111000000000011111111000000
-00001111110110100000001111111100
-00001000111110110000001000110111
-10000000000011111111000000000011
-11001100000000001101111100000000
-00111100110100000010111100010000
-00001011111110000000000001100000
+00001111111100001010001111111100
+00000000111111000000000000111110
+00100000000011111100000000010011
+11110101000000001101111100000010
+10111100110000000000111100000000
+00000011111110000000000001100000
00000000000000000000000000000000
01000000000100001010110000000000
-11111011000000000011111011000000
-00001100100100000000001111101100
-00000000110010000100000001110010
-11110010000011111000000000000011
-00101001000000001100101000100000
-00110010100001000000110010010101
+11101011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110101001000110010
+11010100010011001001010000000011
+00111101010000001100001000000000
+00110010110100000000110010011000
00000011000100000000010000100000
00000000000000000000000000000000
11001000000001010010110000000000
-10111011000000000010111011000000
-00001010100100000000001011111101
-00010000101010111100000010110100
-10010000000010111011101100010010
-00101101110000101000101101001100
-00100010110000001000100010110000
+10111011101000000010111111000000
+00001011111101000000001011111100
+00000000101110110100001011100010
+01100000000010001001100001000010
+00101100000000101000101100000000
+00100010110000000010100010010000
00000011001100100000000001000000
00000000000000000000000000000000
11100000000001010100110000000000
-10110011000000000010110011000000
-00011000000000000000001011101110
-01100100100110000000100000000000
-10000000000010110010000000000010
-00000001000000001010001011000010
-00100000011000000000100000101000
-00000010011110000000000001010000
+10100011111000000010110011000000
+00001011001101000000010011001100
+00001100101110000100101000100000
+01100000001010000001011000000010
+10100010000000001010000000000000
+00100000010000000000101000110100
+10100010011110000000000001010000
00000000000000000000000000000000
00100000000000010001111000000000
-10110111100000000010110111100000
-00001010011010000000001011011110
-00001000101101001001000000100001
-10100100000010110110100100001010
-00011110000001001010001010000000
-00100001111100000110100001001000
+10110111100000010010110111100000
+00001011011110000001011011011110
+00000000101101111001000000100001
+01110001000010000101100010011010
+10001010010000001010001010000000
+00100001011001010000101001111000
00000000000010000000000001000000
00000000000000000000000000000000
01001000000010000000110000000000
-11110011000000000011111011000000
-00001100000100000000001111101100
-00000000110100110000100010100000
-10000100000011110010000000000011
-00001100010000001110000100000000
-00110000010000000000110000100000
+11100011000000000011110011000000
+00001111001100000000001111001100
+00000000111110000001000000100000
+11001000000011001011010000000011
+10001000000000001110000100000000
+10110000010001000010011000110000
00001011010100100000001000000000
00000000000000000000000000000000
01000000000111011011110000000000
-11111111000000000011111111000000
-10001111111000000000001111111100
-01100000111011010000000000111111
-10000101000011111110000000010011
-11111100000010001101110100000000
-10111111010000000100111111000000
+11111111000000000011111111000010
+00001111111101000000001111111100
+00000000111111110001000000110111
+11000000000011111111000000000010
+01111000000000001101111100010000
+00111111010001000000110111110000
00000011110100000000011001100000
00000000000000000000000000000000
10101000000001011110110000000000
-11111011000000000010111011000000
-11000011001010000000001100101100
-10000000110010010000000010110010
-10000000000011000010000000000011
-00101100000010000011101100000000
-00110010110000000010110010110000
-00000011001010100000000001110000
+11111011000000000011111011011000
+00001011101111010000001110101101
+01000000110010010000000000110010
+01000000000011001001000000001011
+00100100000000001101101100000000
+00110010010000000100110010110000
+00001011001010100000000001110000
00000000000000000000000000000000
-01001000000100011001110000000000
-10110111000000000010110111000000
-00001011011100000000001101011100
-10100010100001110000000000100001
-10000000000010000110000000000010
-00011100000000001011011100000000
-00100000110000000000100001010000
+01001000000100010001110000000100
+10110111000000100010110111000100
+00001011001100000000001000001100
+11000000100011010000000000100001
+01000000000010000101000000000010
+00111000000000001000011100000000
+00101001110000000000101000110000
00000010000100100000010001100000
00000000000000000000000000000000
11000000000000001001111000000000
-10110111100000000010110111100001
-00001011111110000100001000001110
-10000000100000111000000000100001
-10100000000010000110100000000010
-00011110000000001011011110000100
-00100101101000000000100000111100
-00101010001100000000000000100000
+10110111100100000010110111101000
+00001011011110000010001010011110
+00000000100001111000001001100000
+11100000000010000111100000000010
+00011110000000001001001110000000
+00100000111000000000100001111000
+00000010001100000000000000100000
00000000000000000000000000000000
01001000000101001100110000000000
-10110011000000000010110011000000
-00001011001100000000001001101100
-00000000100000111000000000100000
-10000000000010000011010010000010
-00001101100101001011001111000000
-10100100110101000000100000110100
+10110011000000000010111011000000
+00001011001100000000001000101100
+00000000100000110100000000100000
+11000000000010001011100000000010
+00001101000001001000001101011010
+00101000110001100000101000111001
00000010000100100000010000110000
00000000000000000000000000000000
11101000000101011010100000000000
-11111010000000000010111010000000
-00001111111000000000001100101000
-00000000110011101001000000110011
-10010000000011001110000000000011
-00111001100100001111111010100000
-00110111101001000000110011101000
+11111010000000000011111010000000
+00001111101000000000001110101000
+00000000110011100111000010110011
+10000001001011001110100000100011
+00111000000000001101111010000000
+10110011101100000010110011100000
00000011001110100000010001100000
00000000000000000000000000000000
01001000000000001110000000000000
-11111000000000000011111000000000
-00001111100000011000001111100000
-00000000111110000101000100111110
-00010010011011111000010100001011
-11100000000000001111000001001000
-00111010000000000100111110000000
-10010011110100100000000000110000
+11111000010000000011111000000000
+00001111100000000000001111100000
+00001010111110000100000000111100
+00000000000011111000010100000011
+11000000000000001111000000000001
+00111110000000000000111110000000
+00000011110100100000000000110000
00000000000000000000000000000000
00001000000100001110010000000000
11111001000000000011111001000000
-00001100100100000000001111100100
-01010000110000010001000000110010
-01100000000011011001100000000011
-00100110010000001111100110000000
-00110000010000000000110000010010
+00001100100111000000001111100100
+00000000110000010010000000111010
+01100100000010001001000000000011
+00100101001000001100100100000000
+00110000010000000000110000010000
00000011000000100000010000110000
00000000000000000000000000000000
10000000000001000110010000000000
-10111001000000000010111001000000
-00001010100100000000001011100111
-00000000101010010100100000101000
-01110010000010000001010000001010
-00100111000000001011100100100001
-00100010010000001000100010010000
-00000010001000000000000000010000
+10111011001000000010111001000000
+00001000100100000000001011100100
+00000000110110010110000000101010
+01010001000010001001011000000010
+00100100000000001000100100000000
+00100010010000000000100010010000
+10001010001000000000000000010000
00000000000000000000000000000000
-00011000000001010010010000000000
-10111001000000000010110001000000
-00001000100100000000001011100101
-00000000100010010000000000100010
-01000100000010011011000100000010
-00100100001000001011100100010000
-00100010010001000000100010010000
+00011000000000010010010000000000
+10111001000000000010111001000000
+00001000100100000000001011100100
+00000000100010010000000000101010
+01010000000010101001000101000010
+00100100000000001000101100000000
+00100010010000000010100010110000
00000010000001100000000001000000
00000000000000000000000000000000
00001000000001000000010000000000
-10110001000000000010110001000000
-00001010000100000000001011000100
-10100000101000010010000000101010
-01000001000010001011000000100010
-00000100100010001011000100100000
-01100000011010000000100000010010
+10110001000000000110110001001000
+00101000000100101000001011000100
+10100000100100010010001000101000
+01001001000010100001001001000010
+00000100100100101000000100100000
+10100000010010000000100000010010
00000010000000100000000100000000
00000000000000000000000000000000
10111000000011010110000000000000
-11111000000000000011111000000000
-00001100100000000000001111100001
-11000000110010000101000000110010
-00000000000011011000000001000011
-00100000000000001111100001010000
-10110010000000000010110010000000
-00001011001011100000001101010000
+11111000000000000011111000010100
+00001100100000100001001111100001
+11010000010010000101000000111010
+00000000000011100000010100001011
+00100001010000001100100000000000
+00110010000000000000110010000000
+00000011001011100000001101010000
00000000000000000000000000000000
10011000000111011110010000000000
-11111001000000000111111001001010
-00001111110100101010001111100100
-00000000111111010001000100111101
-01000000000011111101000000000011
-11110100010000001111010100010000
+11111001000000000011111001000100
+00001111100100000000001111100100
+00001000111111110001000000111111
+01000100001001011101000100000000
+11110100010000001111110100010010
00111111010001000000111111010001
00000011111001100000011001110000
00000000000000000000000000000000
-00011000000001011110010000000000
-11111001000000000011111001010000
-00001111100101000000001100110101
-00000000111111010000000000110001
-11000000000011001101000000000011
-00100100100000001100110100100000
-00110011010010100000111111010000
-00000011000001100000000001110000
+00011000000001011010010000000000
+11111001000000000011111001001000
+00001111110100000000001111100100
+01000000111111010010000000110011
+01001010000011111101000100000011
+00110100000000001100100100000000
+00110010010010000000110010010010
+00000011110001100000000001110000
00000000000000000000000000000000
00111000000100001110000000000000
-10111000000000000010111000001000
-00001011101000100011001101100001
-00000000101110000110100000110110
-00000000001010001000000001000010
-00100000000100001000100000101000
-00100010000000000100101110000001
-00000010000011100000010000110000
+10111000000000000010111000001010
+00001011100001000000001011100000
+11000000101110000111100000100010
+00000001000010111000011000000010
+00100001001000001010100001010000
+00100010000000000000100011000000
+00000010110011100000010000110000
00000000000000000000000000000000
-00001000000001011100010000000000
-10110001000000000010110001001000
-00001011100100100001001000000101
-10000001101100010100000100100000
-01000000000010000001000000000010
-00001101000000101000000100110000
-10100000011000000000101100011000
-00001010000000100000000101110000
+00001000010001001100010000000100
+10110011000000000010110001001100
+00001011001101000000001011000100
+10010001101100110010000010100000
+01000000000010110001011000000110
+00000100110000001000000100000100
+00100001111100000010100001011100
+00000010110000100000000101110000
00000000000000000000000000000000
00011000000101011010010000000000
10111001000000000010111001000000
-10001011100100000000001001100100
-00000000101110010000100000100110
-01000000000010001001010001001010
-00100110001100001000100100000000
-01100010011000000000101110010001
-00000010000001100000010001100000
+00001011100100000000001011100100
+00000000101110010001000000100010
+01010100000010111001000000010010
+00100101000000001010100101000000
+10100011010001000000100011010000
+00000010110001100000010001100000
00000000000000000000000000000000
10100000000101011110010000000000
11111001000000000011111001000000
-00001111000101000000001100100100
-00000000111110011000000000110010
-01010010000011000001000000000011
-00100101000000001100100110100000
-10110010010000010000111110010100
-00000011001010000000010001110000
+00001111100100000000001111100100
+00000000111110010000000001100010
+01010000000011111001000010001011
+00100110000110011100100100000000
+01110000010000000000110010010100
+00000011111010000000010001110000
00000000000000000000000000000000
00101000000000011010010000000000
11111001000000000011111001000000
-01001111100100000010001111100100
-00000000111110011100000000111110
-01000000000011111001010010000011
-11001100000001001111100100100000
-00111110010000000000111100010000
+00001111100100000000001111100100
+00000000111110011000000000111110
+01100000000011110001100100000011
+11100101001000011111000100000000
+00111110010000000000111110010000
00000011110010100000000001100000
00000000000000000000000000000000
00101000000100001010000000000000
11111000000000000011111000000000
-00001111100000000001001111100000
-00100000111110000000000000111110
-00010010000011001000010101000011
-11100011000000001111000001000000
-00110010000011000000110010000000
-00001011000010100000010000100000
+00001100100000001000001101100000
+00000000111110000010000000110010
+00000000001011001000000000000011
+00100000000000101100100010000000
+00110010000000000000110011000000
+00000011000010100000010000100000
00000000000000000000000000000000
00101000000001010010100000000000
10111010000000000010111010000000
-10001011101000000001001011111000
-10000100101111101100000000101101
-10101000000010001110010000000010
-11101000000000001011111010010000
-10100011101000000100100010100000
+00001000111000000000001000101000
+00000000101111100100000000100011
+10100010000010001110100010010010
+00111000001000001000101000000000
+00100010100000000010100010100000
00000010000010100000000001000000
00000000000000000000000000000000
-00101000000001010100110000000000
+00101000000001010100110000000100
10110011000000000010110011000000
-00001011001100000000001011001101
-00000000101110110111000000101100
-10000000000010000001000000010010
-11001100000000001011001111000000
-00100000101000000010100000110000
+00001000001100000000001001001100
+00000000101110110100000000100000
+11000000000010000011000000000010
+01101110000000001000001100000000
+00100000110000000000100000101000
00000010000010100000000001010000
00000000000000000000000000000000
-10100000000000010001110000000000
-10110111000000000010110111000000
-10001011011100100000001011011100
-00000000101101110000000000101101
-11000000001010010101000000000010
-11011100000000001011011000001100
-00100101101000000000100000110000
+10100000010000010001110000000100
+10110100000000010010110011101100
+00001001001010000000001000011100
+01000000101101110000001000100001
+11100000000010000111000000000010
+01010100000000001000001100000000
+00100000110000000000100001101100
00000010001010000000000001000000
00000000000000000000000000000000
10101000000010000001111000000000
-11110111100000000011110111100100
-00001111011110001000001111011110
-00001001111101011000000000111101
-01100000000011000101100000000011
-11010010010010001111111010000000
-10110000001000000000110001111000
-01000011001010100000001000000000
+11110111100000000011110111101010
+00101100011110000000001101011110
+00100000111111111000000010110001
+11100000000011001110100000000011
+01111110000001001100011110000000
+10110001111000000000110000111000
+00001011001010100000001000000000
00000000000000000000000000000000
00001000000111011010110000000000
-11111011000000000011111011001100
-00001111101101100000001111100000
-00010001111110010000010100111110
-11000000000011101001000000010011
-11101101000000001111101000000000
-00111010000000010010111110110000
+11111000000010100011111011011000
+00001110101000000000001111101101
+10000000111110000000000000011100
+11000001000011111010000000001011
+10100100000000001111101100000000
+00111110110000000000111110110000
00000011110000100000011001100000
00000000000000000000000000000000
00000000000001011111111000000000
-11111111100000000011111111100000
-00001111111110001000000000110110
-01000000110011111000000000110011
-01100000010011001100100000100011
-11110010010000001111110110000000
-00110001101000001000110011111000
+11111111100000000011001111100000
+00001100110110010000001100111110
+01000000110011011000000000110011
+11100000000001111111100000000001
+01111010000000001100111010000000
+00110001011000000000110011001000
00000011000000000000000001110000
00000000000000000000000000000000
10101000000100011001110000000000
-10110111000000000010110111000001
-00001011011100010000001000110100
-01001000000011000000000000101001
-01001000000010000101000000000010
-11010000000000001011110000010000
-00100001000001000000101001110001
-10010010001010100000010001100000
+10110100000000000010000111001001
+00001000010000110000001101011100
+00000000100001010010000000110101
+11010000000010110111000000000010
+00011000000000001000011000011000
+00100001010000000000100001000000
+00000010001010100000010001100000
00000000000000000000000000000000
00000000000000001001110000000000
-10110111000000000010110111000000
-00001011011100000000001001011100
-00001000100001110000000000100001
-01000000000010000111000000000010
-11010000000010001011011000000000
-00100001000000000000100001110000
+10111111000000000010000111000000
+00011000011100000000011000011100
+00010000100001110000001001100001
+11000000000010110110000010000010
+01111000000000001000011000000000
+00100001010100100000100001011000
00000010000000000000000000100000
00000000000000000000000000000000
00100000000101001100110000000000
-10110011000000000010110011000000
-00001011101101000000001001000000
-00000000100010001100000000101000
-01010000000010000001010010010010
-11000011000000001011101000000000
-10100000001100110000101000111100
+10110000000001000010000011000000
+00001000001000000000001001001100
+00001010100000001110000000100100
+11100000000010110010100000000010
+01101001000000001000001001001000
+00100000011000000000100000010000
00000010000010000000010000110000
00000000000000000000000000000000
10101000000101011010110000000000
-10111011000000000011111111000000
-00001111111100000000001101101100
-00000000110010110000000000110010
-00110000000011000001100000000011
-11100000100000001111101000000000
-10110010101110000000110010110100
+10111011000000000011001111000000
+00001100001100000000001100111100
+00000000110010111010000000100010
+10100001000011111010100001000011
+01101011001000001100101111000000
+00110010101000000000110010100000
00000011001010100000010001100000
00000000000000000000000000000000
10000000000000001110110000000000
-11111011000000000011111011000000
-01001111101100010000001110001100
-00000000111110110001000000111110
-01100000001011111001000100000011
-11100000000000001111100000001000
-00111100100100000000111110110000
+11111011000000000011110011000000
+10001111101100000000001111101100
+00000000111110110000000000111100
+11010100100011111011000000000011
+10100001000000101111101100000000
+00111110100000000000111100100000
00000011111000000000000000110000
00000000000000000000000000000000
00000001000100001111110000000000
-11101111000000000011111111000000
-00001111111100001000001100110100
-00000000110011000000100000110011
-01000010000011111101010000000011
-00110010001010001100111000000000
-00110011000000000010110010111000
-10000011110000000100010000110000
+11111110100000000011111111000000
+00001100110100000000001100101100
+00000000110000010000000000110011
+11000000000011000010100000001011
+00110000001000001100011100000000
+00110001100000000000110010110000
+00000011000000000100010000110000
00000000000000000000000000000000
10000001000001000110110000000000
-10111011000000000010111011000000
-00001011101100000000001000100010
-00000000100010001000001010100010
-01100000000010111001000010100010
-00000000000000001000100010000100
-00100010001010000010100010011000
-00000010111000000100000000010000
+10111010000000000010111011000000
+00001000100100010000001010101100
+00000000100010001000000000101010
+01100000000010001010110000000010
+00100011000010101000101100000000
+00110110100000000000110110110000
+00000010001000000100000000010000
00000000000000000000000000000000
10000000000001010010110000000000
-10111011000000000010111011000000
-00001011101100000000001000100010
-00000000101010011100000000100010
-01100000000010111011000000000010
-00100000101000001000100110000000
-10100010101000000000100010110010
-00000010111000000000000001000000
+10111001011000000010111011000000
+00001000100001000001001000001100
+00000000100010001000000000100010
+00100000001110001010011000010010
+00101011000000001000101000000000
+00100010000000000000100010000000
+00000010001000000000000001000000
00000000000000000000000000000000
-00001000000001000000110000000000
-10110011000000000010110011000000
-00001011001100000000011000000010
-00000000100000000000000000100000
-01000000000010110001000000000010
-00000000000000001000000010000000
-00100000100000010000100000110000
-00000010110000100000000100000000
+00001000000001000000110000000100
+10110001000000000010110011000000
+00001000000000000000001010001100
+00000010100000001000001000101000
+01000000000110000011000000000110
+00001000000000101000001000000000
+10100100001000000000100100000000
+00000010000000100000000100000000
00000000000000000000000000000000
00000000000011010110110000000000
-11111011000000000011111111000000
-00001111011100000000001100100000
-00000000111010000000000000110010
-01000001000011111001000001000011
-00100000000000101100101000000000
-00110010000000000000110010110000
-00000011110000000000001101010000
+11111000000000000011110111000000
+00001100100000000000001000111100
+00000000100010000000010001110010
+01000000000011001010000000000011
+00100000000010001100101000000000
+00110010000000000000110010010000
+00000011000000000000001101010000
00000000000000000000000000000000
-10100000000111011111110000000000
-11111111000000000011111111000000
-00000111111100000000001111110000
-00000000111111000000010000111101
-01000000100011111101000000011011
-11110000000000001111110000000100
-00111111010000000000111111010000
+10100000000111011111110000000001
+11110100000000000011111111000000
+00001111010000000000001111111100
+00000000111111000000000000111111
+01000001000011111100000000000011
+11010000000000001111011000000000
+00111111000000000000111111010000
00000011111010000000011001110000
00000000000000000000000000000000
-11000000000001011111101000000000
-11111111000100000011001111100000
-00001100111110000000001100110110
-00000000111111011000000000110111
-01100000000011111111000000000011
-00111110000000001111011110000000
+11000000000001011101011000000000
+11111111001100100011001111001010
+01001111111100100000001101110110
+00000000111111111000000000111111
+11000000000011111111100000000011
+11111110000000001111010010000000
00110111111000000000110011111000
00000011111100000000000001110000
00000000000000000000000000000000
-10000000000100001010111000000000
-10111111010001010010001011001000
-00101000101100101000001000100110
-00000000101110011000000000100010
-11100000000010111111010000000010
-00101110000010001011101110000000
-00100010111000000000100010111000
+10000000000100001010011000000000
+10111111011100000010001111011010
+00001011111100110000001000100110
+00000000101110111000000000101110
+11100000000010111011100000000010
+11101110000000001011101110000000
+00100010111000000000100010101000
00000010111000000000010000110000
00000000000000000000000000000000
-10001000000001001100000000000101
-10110011001100000010000011000010
-00001010001100000000001000000100
-00000000101110010000000000100100
-01000000000010110011010000000010
-00001100000000001011100100000000
-00100010110000000000100000110000
+10001000000001001100010000000000
+10110011001000000010100011000000
+00001011001100001000001000001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011000100000000
+00100110110000000000100100010000
01000010111000100000000101110000
00000000000000000000000000000000
-11000000000101011010100000000100
-10111011000000000100001011000000
-00001010001100000010001000101110
-00000000101110110000000000100010
+11000000000100010010010001000100
+10111011000000000010101011000000
+00010011101100000000001000101100
+01000000101110110000000000101110
11000000000010111011000000000010
-00101100000000001011101110000000
-10100010110000000010100010110000
-00000010111100000000010001100000
-00000000000000000000000000000000
-01000000000101010110000000000000
-11111011000000001001001011000001
-00001110101100000000001100100100
-00000000101100010000000000110110
-01000000000011111011000000000011
-00101100000000001111101110100000
-00110000011001000000110010110000
+11101100000000001011101110000000
+00100110110010000010100110111000
+10000010111100000000010001100000
+00000000000000000000000000000000
+01000000000101011110010000000000
+11111011000000001011101011000000
+00001111101100000000001100100110
+00010000101110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101111100000
+00110100010000000000110110111000
00000011110100000000010001110000
00000000000000000000000000000000
-11100000000000011011010000000000
-11111011000000000011111111000001
-00001101111100000000001111110100
-00000000111111010100000000111111
-11110010000011111111000000001011
+11100000000000011011110000000000
+11111111000000000011011011000000
+00001111101100000000001111110110
+00000000111111110000000000111111
+11000000000011111111000000000011
11111100000000001111111100000000
-00111011110000000000111111110000
+00111011111000001000111011110000
00000011111110000000000001100000
00000000000000000000000000000000
-01000000000100001010000000000000
-11101011000000000011001011000000
-00001111101100000000001111100100
-00000000011110010000000000111110
-01000000000011111011000000000011
-00101100000000001111101110000000
-00110010110000000000111110110000
+01000000000100001010010000000000
+11010011000000000011001011000000
+00001100101100000000001100101110
+00010000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101101100000
+00110010110000000000111110110100
00000011110100000000010000100000
00000000000000000000000000000000
-11001000000001010010100000000000
-10111111000000000010001011000000
-00000011101100000000001011101101
-01000000101110110000000000101110
-11000000000010111111000000000010
-00101100000000001011001111010000
-00110110111101000000101110110000
+11001000000001010010110000000000
+10001111000000000000001111000000
+00001000111100000000001000101101
+01000000111010110000000000101111
+11000000000010111011000000000010
+11101100000000001011001101100000
+00100010110111000000101110110000
00000010111100100000000001000000
00000000000000000000000000000000
-11100000000001010100100100100000
-10101011000000000010111011000000
-00001011001100000000001011001100
+11100000000001010100110000000000
+10010011000000000010000011000000
+00001011101100000000001000000100
00000000101100110000000000101100
-01000000000110111011000001000010
-00001100000000001011001100000000
-00100000111000000000101100110000
+11000000000010110011000000000010
+11001100000000001011001110000000
+00100000110000000000101100110000
00000010111110000000000001010000
00000000000000000000000000000000
-00100000000000010001111000000000
-10110111100000100010110111100000
-00011011011110000000001011010110
-00000000101101111100100000101101
-01100010000010110111100000000010
-00011110000000001011011110000010
-10100101111000100000101101111000
+00100000000000010011011000000000
+10000111100100000110000111100000
+00011011011110010000001000011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000010
+10100001111000000000101101111000
00000010110010000000000001000000
00000000000000000000000000000000
-01001000000010000000010101000000
-11100011000100001011110011000100
-00001111001100010110001011001100
-00000000111100010000000000111100
-11000000000011111011000000000011
-00001100010000001111001100000000
-00110000110001000000111100110000
+01001000000010000000110000000000
+11011011000100001011000011001001
+00001111001100000110001100000100
+01000000111100110000000000111100
+11000000000011110011000000000011
+11001100000000001111001100000000
+00110000110000000000111100110000
00000011110100100000001000000000
00000000000000000000000000000000
-01000000000111011011110000100000
-11111111000101000011001111000101
-00001111111100010000001111111100
-00000000111111110000100000111111
-11000000010011111111000000000011
-11111100000000001111111100000000
-00111011110000000000111111110000
+01000000000111011011010001100000
+11111111000101000011111111000000
+00001100111100000000001111111101
+00000000111011110000000000111111
+11000010000011111111000000000011
+11111100000010001111111100000000
+00111111110000000000111111110000
00000011110100000000011001100000
00000000000000000000000000000000
-10101000010001011110000110110000
-11101011000000100011111011000001
-00001111101100000000001111101110
-00000000110110110000000100111110
-01000000010011111011000000000001
-00101100000000001111001100000000
-00110110110000000000111110110000
+10101000000101011110110010100000
+11111011100000000011001011011100
+00001111101111100000001100100101
+01000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00110110110000000100111110110000
00000011111010100000000001110000
00000000000000000000000000000000
-01001000000100011001010010000000
-10110111001100000010110111000000
-00001011011100000001001011010101
-10100000100001110010100000101101
-01010010010010111111001101100010
-10011100000000001011011000000000
-01110001110000000000101101110000
-00000010110100100000000001100000
-00000000000000000000000000000000
-11000000010000001001011110011000
-10110111100100000010110111100000
-00001011011110000000001011111110
-00000000100101011000000000101101
-11100000000010110111101100000110
-00011110000000001011111110001000
-00100001111000000000101101111000
+01001000000100011001110010000000
+10110111001100000010000111001010
+00001011001101001000001000011100
+00000000101101110000000000101101
+11001000000010110111000000000010
+11011100000000001011011100000000
+00100001110000000000101101110000
+00000010110100100000010001100000
+00000000000000000000000000000000
+11000000010000001001111010001000
+10110011100100000010110111101001
+01011011011110100011001000010110
+10001000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00100001111000000000101001111000
00000010111100000000000000100000
00000000000000000000000000000000
-01001000000101001100111000000000
-10110011000000000010110011000000
-00011011101100000000001011001100
-00000000100100110000000000101100
+01001000000101001100111000000100
+10110011000000000110110011000000
+00010011001100000100001000001100
+00000000101100110000000000101100
11000000000010110011000000000010
-10001100000000001011001010000000
-10100000111000000000101100110000
+11001100000000001011001110100000
+00100000111000000000101100111110
00000010110100100000010000110000
00000000000000000000000000000000
-11101000000101011010101000000000
-11111010000000000011111010000000
-00001111101000000000001111101000
-00000000110110100000000000111110
+11101000000001011010100000100000
+11111010000000001011111010000000
+00001111101000000000001100101000
+00000100111110100000010000111110
10000000000011111010000000000011
-00101000000000001111111001000000
-00110000101000000000111110100000
+11101000000000001111111010100000
+00110010101000000000111001101100
00000011111110100000010001100000
00000000000000000000000000000000
-01001000000000001110000100000000
-11111000000000000011111000000000
-00001111100000000000001111100000
-00000000111010000000000000111110
-00000000000011111000000000000011
-11100000000000001111100000001000
-00111010000001000000111110000000
+01001000000000001110000000000000
+11111000000000000010001000000000
+01001111100000000000101111100001
+00000000111110000000000000111110
+00000000010011111000000000000011
+11100000000000001111100000000000
+10111110000101000000111110000001
00000011110100100000000000110000
00000000000000000000000000000000
-00001000000100001110010000000000
-11111001000000100011111001000000
-10001111100100000010001100100100
-00000000111110010000000000111110
-01000000000011110001000000000011
-00100100000000001111100100000000
-10110010010100000000111010010000
-00000011110000100000010000110000
+00001000000000001110010000001000
+11111001000000000011111001000000
+00001111100100000000001111100101
+00000000111010010000000000111100
+01000000000011101001000000000011
+11100100000000001111100110000000
+00111010011000000000111110010000
+00000011000000100000010000110000
00000000000000000000000000000000
-10000000000001000110111010000000
-10111001000000000010111001000001
-00001011100100000000001000101100
-00000000101110110000000000101110
-11000000010010111001000000000011
-00100100000000001011000100000000
-00100010010100000000100010010000
-00000010111000000000000000010000
+10000000010001000110111000010000
+10111001000000100010111001000000
+00001011100100000000001011001101
+10000000110010010000000000101110
+01000000000010001001000000000010
+11100100000010001011000111000000
+00100010011110001000101110010000
+00000010001000000000000000010000
00000000000000000000000000000000
-00011000000001010010010010010000
+00011000000000010010010110000000
10111001000000000010111001000000
-00001011100100000000001000100110
-00000001101110011000000000101110
-01010000000010111001000000000010
-00100100000000001011100100100000
-00100010010000100000101010010000
-00000010110001100000000001000000
+00001011100100000000001011100100
+00000000101010010000000000101110
+01000000000010101001000000000010
+11100100000000001011100100011000
+00101010010001000100101110010000
+00000010000001100000000001000000
00000000000000000000000000000000
-00001000010001000000010000000000
-10110001001010000010110001000000
-00001011000100000000001000000100
-00010001101100010000000000101100
-01000000000010110001001010000010
-00000100000000001011100100000000
-00100000010000000000100000010000
-00000010110000100000010100000000
+00001000000001000000010000000000
+10110001001010000110110001001010
+00001011000100101000001011100100
+00000000100000010000000000101100
+01001000000010000001000000000010
+11000100000000001011100100000000
+00100000010000000000101110010000
+00001010000000100000000100000000
00000000000000000000000000000000
10111000000011010110000000000000
-11111000001000010010111000000000
-10001111100000000000001100100000
-00000000111110000000000000111110
-00000000000011111000011100001011
-00100000000001001111100000000100
-00110010000000000000111010000000
-00000011111011100000000101010000
+11111000001000000011111000001000
+10001111100000100000001111100000
+00000000111010000000000000111110
+00000000000011101000000000000011
+11100000000000001111100000000000
+00111010000000000000111110000000
+00000011001011100000001101010000
00000000000000000000000000000000
10011000000111011110010000000100
11111001001010010011111001001010
-10001111100100101000101111000100
-00000000011110010000000000111110
-01000000000011111001000000000011
-10100100000000001111110100000000
-00111111010000000000111110010000
-00000011111001100000010001110000
-00000000000000000000000000000000
-00011000000001011110010000000000
-11111001010000010011111001010000
-10001100100100000001001111100100
-00010000011110010000000100111110
-01000000010011011001001010000010
-11100100000000001111110100000000
+00001111100100101000001111100100
+00000000111010010000000000111110
+01000100000011111001000000000011
+11100100000000001111010100000000
00111111010000000000111111010000
-00000011110001100000000001110000
+00000011111001100000011001110000
00000000000000000000000000000000
-00111000000100001110100000000001
-10111000001000000110111000000000
-00011101100000100000011011100000
-00010000101110000000000000101110
-00000000000010001000011000000010
-11100000000010001011100000000000
-00101110000000000000101110000000
-00000010110011100000010000110000
+00011000000000011110010000000000
+11111001000000000011111001010000
+00101100100101000000001100100100
+00000000111110010000000000111110
+01000000000011001001000000000011
+11100100000000001111010100000000
+00110011010000000000111111010000
+00001011000001100000000001110000
+00000000000000000000000000000000
+00111000000100000110000000000000
+10111000011100000010111000000000
+00001000100001000000001000100000
+00001000101110000000000000101110
+00010100000010001000000000000010
+11100000000000001011100000000010
+00100010000000000000101110000000
+00000010000011100000010000110000
00000000000000000000000000000000
-00001000000001010100010000000000
-10110001011000000010110001000000
-00001000000100001000001011000100
-00000000101100110000000001101110
-11000000000010010001000010000010
-11000100000000001011001100000000
-00101100010000000000101100010000
-00000010110000100000000101110000
+00001000000001011100010000000000
+10110001000010000010110001010000
+00001000000101100000001000000100
+00000000101100010000000000101100
+01000000001010000001000000000010
+11000100000000001011001110000000
+00100000010000000100101110011000
+00000010000000100000000101110000
00000000000000000000000000000000
-00011000000100011010010000000000
+00011000000101011010010000000000
10111001000000000010110001000000
-00001001100100000000001011101100
-00000000101110010001000000101110
-01000000000010001001000001000010
-11100100000000001011100110000000
-00101110010000000000101110010000
-00000010110001100000010001100000
+00001000000100000010101000100110
+00000000101110010000000000101110
+01000000000010001001000000000010
+11100100000000001011100100000000
+10100010010000000000101110010000
+00000010000001100000010001100000
00000000000000000000000000000000
-10100000000100011110011100000000
-10111001000000000010111001000000
-00000000100100000000001011100100
-01000000101110011000000000111100
-01100000000011011001000000000011
-11100100000000001111100100000000
-00101110010000000000111110010000
-00000010111010000000010001110000
+10100000000101011110010000000000
+11111001000000000000111001000000
+00001000100100000000001100100100
+00000000101110010000000100101110
+01000000000011001001000000000011
+11100100000000001111000100000000
+00100010010000000000111100011000
+00000010001010000000010001110000
00000000000000000000000000000000
-00101000000000011010011100001000
+00101000000000011010010000101000
11111001000000000011111001000000
-10001111100100000000001111100100
-00100000111110011000100000111110
-01001001000011111001000000000011
-11100100000000001111100100000010
-00111110010010000000111110010000
+00001111100100000001001111100100
+00000000111110010000000000111100
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110011001000000111110011001
00000011110010100000000001100000
00000000000000000000000000000000
-00101000000100001010000001000000
-11111000000000000011101000000000
-00001110100000000000001111100000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
00000000111110000000000000111110
-00000000000011111000000000000011
-11100000000000001111100010000000
-00111110001000000000111110000000
-00000011110010100000010000100000
+00000000000011101000000000000011
+11100000000000001111100000000000
+00110010001000000000111110000000
+00000011000010100000010000100000
00000000000000000000000000000000
-00101000000001010010100000000000
-10111010000000000010111010000000
-00001000101000000100001111101000
-00100000111010100100000000101110
-10000000000010111010000000000010
-11101000000000001011111000000000
-00111011100000000000101110100000
-00000010110010100000000001000000
+00101000000001010010101000000000
+10111010000001000010111010000000
+00001000101000000000011011101000
+00000000101110100000010000111010
+10000000000010001010000000000010
+11101000000100001011111000000000
+10110011101000000000101110100000
+00000010000010100000000001000000
00000000000000000000000000000000
-00101000000001010100111000000000
-10110011000000000110100011000000
-00001010001100000000001011001111
+00101000000001010100110001000000
+10110011000000000010110011000000
+00001010001100000000001011001100
00000000101100110000000000101100
-11000000000010110011000000000010
-11001100000001001011001100000000
-00101100111001000000101100110000
-00000010110010100000000001010000
+11000000000010100011000000000010
+11001100000000001011001000000000
+00100000110001000000101100110000
+00000010000010100000000001010000
00000000000000000000000000000000
-10100000000000010001100000000001
-10110111001100000010110111001100
-00001010011100100000001010011000
-00000001101101110000000000101101
-11000000010010110111000000000010
-11011100000000001011011100000000
-00101101110000000000101101110000
-00000010111010000000000001000000
+10100000000000010001110000000000
+10110111000000000010110111001000
+00001000011100100100001011011000
+00000000101101110000000000101100
+11000000000010000111000000000010
+11011100000000001011011100000010
+00100101110000000000101111110000
+00000010001010000000000001000000
00000000000000000000000000000000
-10101000000010000001011001000000
-11110111101000000011101111100000
-00001110011110101001001011010110
-00101000111101011000100000111101
-10100010000011110111100000000011
+10101000000010000001001000000100
+11110111100011000011110011110100
+00001110011110101000001011010110
+00000000111101111000000000111101
+11100000000011100111100000000011
11011110000000001111011110000000
-00111101111000000000111101111000
-00000011111010100000001000000000
+00110001101000000100111101111000
+00001011001010100000001000000000
00000000000000000000000000000000
-00001000000111011010000000000000
-11111011000010000011111011010000
-00001101101101110000001111100001
-10000000111010110000000000111110
-11000000000011111011000100000011
+00001000000111011010000110100000
+11111011011000000011111011000000
+00001110101101010000001111100001
+00100000111110110000000000111010
+11000000000011111011000000000011
11101100000000001111100100000000
-00111010110000000000111110110000
+00111010110000001000111100110000
00000011110000100000011001100000
00000000000000000000000000000000
-00000000010001011111111000010000
-11001111100000000011001111110000
-00001111111110000000001111111110
-00000000111111111000000000111111
-11100000010011111111100000000001
-11111110000000001111111110000000
-00111111111000000000111101111000
+00000000000011011111111001000000
+11111111100010000011111111100000
+00001100111110000000001111111110
+00001000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111010000000
+00111101111000000000110001111000
00000011000000000000000001110000
00000000000000000000000000000000
-10101000000100011001100000000000
-11010111000000000010000111000000
-00001111011100000000001011011000
-00000000101101000000000000101101
-01010110000010110111000000100010
-01011100000000001011011100010000
-00101101110000000000101101110000
+10101000000000011001110100000000
+10110111000000000010111111000001
+01001101011100000001001011011000
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011101000000
+00101101110000000000101001110010
00000010001010100000010001100000
00000000000000000000000000000000
-00000000000000001011010000000000
-10000111000000000010000111000000
-00001011011100000000001011011100
-00000000101101010000100000101101
-10000000000010110111000000000010
-11011100000000001011011100000000
-00101101110000000000101101110000
-00000010000000000000000000100000
+00000000010000001001000000001000
+10110111000100000010110111000000
+00001000011100010000001011010100
+00100000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011101000000
+00101101110000000000100001110100
+10000010000000000000000000100000
00000000000000000000000000000000
00100000000101001100001000000000
-10010011000000000010001011000000
-00011010001100000100001011001010
-00000000101100001000000000101100
-01000000000010110011000000000010
-01001100000000001011001101000000
-00101100110000000000101100110000
+10110011000000000010110011000000
+00011001001100000000001011000010
+00000000100100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001110000000
+00101100110001000000101000111000
00000010000010000000010000110000
00000000000000000000000000000000
-10101000000101011010110010000000
-11001111000000000011001111000001
-00001011111100000000001111101110
-10000000111110101000000000111110
-01100000000011111111000000000011
-11101100000000001111001100000000
-00111110111000000000111110110000
+10101000000101011010111000000000
+11111111000000000010111111000000
+00001100111100000000001111101110
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101110001000
+00101110011000000000110000111000
00000011001010100000010001100000
00000000000000000000000000000000
-10000000000000001110110100000000
-11110011000000000011111011000000
-00000111101100000000001111101100
-00000000111110100000000000111110
-10000000000011110011000000000011
-01101100000000001111101110000000
-00111110111000000000111110110000
+10000000000000001110110001000000
+11111011000000000011111011000000
+00001111101100000000001111100100
+00000000111110110000001000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
00000011111000000000000000110000
00000000000000000000000000000000
-00000001000100001111101001100000
+00000001000100001111110000000000
11111111000000000011001111000000
-10001110111100000000001111110100
-00000000111111000000000000111111
-00000000000011111111000000000011
-00111100000000001111111100001000
-00110111110000000000110011110000
-00000011110000000100010000110000
+01001111111100000001001111111000
+00010000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011000000000100010000110000
00000000000000000000000000000000
-10000001000001000110100000000000
-10111011000000010010001011000001
-00001000101100000000001011100101
-00000000101110100000010000101110
-10000000000010111011000000000010
-00101100000000001011101100000000
-00100000111000000000100010110000
-00000010111000000100000000010000
+10000001000001000110110000000000
+10111011000001000010001011000000
+00001011101100000000001011100000
+01100000101110110000000000101110
+11000000000010111011000000000010
+11101100000010001011101101000000
+00101110111000000000101110110000
+00000010001000000100000000010000
00000000000000000000000000000000
-10000000000001010010010000100000
-10111011000000000010001011000000
-00001010101100000000001011100010
+10000000000001010010000001000000
+10110011000000000010001011000000
+00001011101100000000001011101100
00000000101110110000000000101110
-01100000000010111011000000000010
-00101100000000001011101110000000
-00100110111000000000100010110000
-00000010111000000000000001000000
+11000001000010111011000000000010
+11101100000000001011101110000000
+00101110111000000000101110110000
+00000010001000000000000001000000
00000000000000000000000000000000
-00001000000001000000010000000000
-10110011000000000010000011000000
-00001000001100000000001011000000
-00000100101100001000000000101100
-00000000000010110011000000001010
-00001100000000001011001010000000
-00100000101000000010100000110000
-00000010110000100000000100000000
+00001000000001000000000000000000
+10110011000000001010000011000000
+00001011001100000000001011000100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100111000000000101110110000
+00000010000000100000000100000000
00000000000000000000000000000000
-00000000000011010110000000000000
-11110111000000000011001111000000
-10001110111100000000001111100000
-00000000111110010000000000111110
-00000000000011111011000000000011
-00101100000000001111101100000000
-00110110110000000000110010110000
-00000011110000000000001101010000
+00000000000001010110000000000000
+11111111000000000011001111000000
+00001111111100000000001111101000
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00001011000000000000001101010000
00000000000000000000000000000000
-10100000000111011101000000000000
-11111111000000000011111111000000
+10100000000101011111000000000000
+11111111000000000001111111000000
00001111111100000000001111110000
-00000000001111000000000000111111
-00000000000011111111000000000011
-11111100000000001111111000000000
-00111111010000000000111111110000
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
00000011111010000000011001110000
00000000000000000000000000000000
11000000000001011111111000000000
-11111111100000000011111100100000
-00001111110001100000001111111100
-10010000011111011000000000110011
-01100000000011010101100000000011
-00010000000000001101110100000000
-00110011110000000010110011000000
-00000011001100000000000001110000
+11111100100100010011001111100000
+00001111111110000000001100111100
+11001000111111110010000000110011
+01100000000011000111100000000011
+00111100000000001100111110010000
+00110011101001000000110011101000
+00000011111100000000000001110000
00000000000000000000000000000000
10000000000100001110111000000000
-10111011100000000010111010001010
-00001011100000100000001011111100
-11100000101110000000010100110110
-00100000000010001001100000000010
-00100010000001001010100100000100
-10100010111000000000100010001000
-00001010001000000000010000110000
+10111000001000000010001011100000
+00001011101110000000001000111100
+11010000101111110111100000100010
+01000000000010001001100000000010
+00111111000000001000001100000000
+00100010100000000000100010011000
+00000010111000000000010000110000
00000000000000000000000000000000
10001000000001011100110000000000
-10110011000000000010110000000000
-00001011000001100000001011001100
-10000000100100010000000000100000
-01000000000010001001000000001010
-00001000000000001001000000000000
-00100010110000000000100000100000
-00000010001000100000000101110000
+10110010001000000010000011000000
+00011011101100000000001000001100
+00001001101100110000000000100010
+01000000000010000011000000001010
+00000100010000001000001100000000
+00100000100000000000100000000000
+00000010111000100000000101110000
00000000000000000000000000000000
-11000000000101011010110000000000
-10111011000000000010111011000101
-00001011100010000000001011101100
-00000000101110111000100000100110
-11100000000010001001000101000000
-00101100000001001010100000000010
-00100010011000000000100010111000
-00000010001100000000010001100000
+11000000000101011010110000010000
+10111010110000000010001011000000
+00001011101100000000001000101100
+00000000101110110000010000100010
+11100000000010001001000000000010
+00100100000000001000001100000000
+00100000100000000000100010011000
+00000010111100000000010001100000
00000000000000000000000000000000
01000000000101011110110000000000
-11111011000000000011111001000000
-00001111100010000000001111101100
-00000000110110011000000000110010
-01110000001011000001100000010011
-00000011100000101101100110000000
-10100010111100100000110010000100
-10000011000100000000010001110000
+11111001010000000011001011000000
+00001111001100000000001100101100
+00000000111110110000000000110000
+01100000001011000011000000000010
+00100100000000101100101100000000
+00110010100000000000110010001000
+00000011110100000000010001110000
00000000000000000000000000000000
11100000000000011011110000000000
-11111111000000000011111110100000
-00001111101100000000001111101100
-00000100111111000000000000111111
-10000000000011111101000000000011
-11111110010000001111100110010000
-00111110110000000000111100000000
-00010011111110000000000001100000
+11111101000000100011111111000000
+00001111111100001000001111101100
+00000000111111110000000000111111
+01000001000011111101100100000011
+11100100000000101111111100000000
+00111111100000000000111111010000
+10000011111110000000000001100000
00000000000000000000000000000000
01000000000100001010110000000000
-11111011000000000011111001000000
-00001111100101000000001100101110
-00000000110010110000000100111110
-01010000000011111001000110000011
-00101000000000001100100100000000
-10110010110000000100110010110100
-00001011000100000000010000100000
-00000000000000000000000000000000
-11001000000001010010110000000000
-10111011000000000010111011000000
-00001011101110100000101000111100
-01010000100010110000000000101100
-10111000000010111011010000000011
-01101111000000001101100100000001
-00110100110000000100100010111101
-00000010001100100000000001000000
+11111001000000000011111011100000
+00001111101100000000001111101100
+00000000110010110001000000110010
+11010000000011111011000010000011
+00001100000000101100101100000000
+00110010100000000000110010001000
+00000011000100000000010000100000
00000000000000000000000000000000
-11100000000001010100110000000000
-10110011000000000010110000000000
-00001011000010000000001000001101
-00000000100010110000000000101100
-11110011000110110001000000000010
-10000011001000001000100110000000
-10100000110000000010100000101100
+11001000000001010010111110000000
+10111001000001000010111011010100
+00001011101100000000001011111100
+00000000101011111000000000100010
+11000000000010111011001000010010
+00101111010000001000101110000000
+00100010100000000000100010010100
+00000011011100100000000001000000
+00000000000000000000000000000000
+11100000000001010100110000100000
+10111000000000000010110011000000
+00001011001100000000001011001100
+00000000100110111000100000100000
+11000000000010110011100000000010
+00001111000001001000001110000000
+10100000100000000000100000010100
00000010001110000000000001010000
00000000000000000000000000000000
00100000000000010001111000000000
-10110111100000000010110100100000
-00001011010111010010001000011110
-00000000100001111001000001101101
-11100000000110111101100000000010
-11111010001000001001010110011000
-00100101111000000000100001111000
-00000010000010000000000001000000
+10110100100000000010110111100000
+00001011011110000000001011011110
+00010000101100111000000000100001
+10100000000010110111100000001010
+00011110000000011000011111001000
+00100001101100000010100001011000
+00000010010010000000000001000000
00000000000000000000000000000000
01001000000010000000110000000000
-11110011000000000010110010000000
-00001011001100000000001000001100
-00000000110000110000000000111100
-11011100000011110001001000000011
-10001100000000001100001000010000
-10110000110000000100110000100000
-10001011000100100000001000000000
+11110011000000000011110011000000
+00001111001100000000001111001100
+01000000110100110001000000100000
+11000000000011110011001000000011
+00000100001000001100001100000000
+00110010100000000000110000010000
+00000011000100100000001000000000
00000000000000000000000000000000
01000000000111011011110000000000
11111111000000000011111111000000
-00001111111100000010001111111100
-00000000111111110000010000101111
-11000101000011111101000000000011
-01111100000100001111111000010000
-00111111010000000010111111110000
+00001111111100000000001111111100
+00100000111011110000010000111111
+11000000000011111111000000000011
+11110111000000001111111100000000
+00111111100000000100111101010000
00000011110100000000011001100000
00000000000000000000000000000000
-10101000000001011110110000000000
-11111011000000000011111001000000
-00001111100000000000001000101100
-11000010010010111000000000110010
-11000000000011111011000000000001
-00100100000000001101110100000001
-00110010110000000000000010110000
-00001011001010100000000001110000
+10101000000001011110111000000000
+11001010000000000011001011000000
+00001111101100010000001111101100
+00100000111110110000100100111110
+11000000000011110011000000000011
+00100101000000101100001100000000
+00110010100000000000110010010000
+00000011111010100000000001110000
00000000000000000000000000000000
01001000000100011001110000000000
-10110111000000000010110100000000
-00001011111100000000001101001100
-10100000100001100000000100110101
-11000000000010110111000001000010
-10001100000000001000010100000000
-00100001100000000000100000110000
-00000010000100100000010001100000
+11010110000000010011010111011000
+00001011011100000000001011011100
+10000000101101110010000000101101
+10000000000010110111000000000010
+10000100000000001000011100000000
+00110101100000000000100001010000
+00010010110100100000010001100000
00000000000000000000000000000000
11000000000000001001111000000000
-10110111100000000010110111100000
-00001011010110000000001000011110
-00000000100101111000000000100101
-11100000000010111111100000000010
-00011110000000001001011110000000
-00100000111000000010100001111000
-00000010011100000000000000100000
+10001111110000000010000111100000
+00001011011110100000001011011110
+00000000101101111011000000101101
+11100000000110110111100010000010
+00011110000000001000011110000000
+00100001101000000000100001011000
+00000010111100000000000000100000
00000000000000000000000000000000
01001000000101001100110000000000
-10110011000000000010110011001000
-00001011101110000001001001101100
-00000000100100111000000000100100
-11110000000010110011100000000010
-10001100000010001000001101000000
-00100000001001001000100000110010
-00000010010100100000010000110000
+10010011000000000010010011000000
+00001011001100000000001011001100
+00000000101110110000000000101100
+11000000000010110011000001010010
+10001110000000001000001100000000
+00100100100000000000100000010000
+00000010110100100000010000110000
00000000000000000000000000000000
11101000000101011010100000000000
-11111010000000000011111110010000
-00001111111000100000000100101000
-00000000110111101010000000110111
-10001100000011110010100010001010
-00111001000000001101111000000000
-10110001101100000000110011100000
-00000011011110100000010001100000
+11000110100100000011001010000000
+00001111101000000000001111101000
+00000000101110100000000000111111
+10001000000011111010000001100011
+00101010100000001100101000000000
+00110011100000000100110011100010
+00000011111110100000010001100000
00000000000000000000000000000000
01001000000000001110000000000000
11111000000000000011111000000000
-00001111100011000000001111100000
-00000000111010000000100000111110
-00000000000011111000000001000011
-11000000110010001111100000001000
-00111110000000110000111110000100
-00000011100100100000000000110000
+00001111100000000010001111100000
+00000100111110000100000000111110
+00000000000011111000010000000011
+11100001000000001111100001000000
+00111110000000000110111110000100
+00000011110100100000000000110000
00000000000000000000000000000000
00001000000100001110010000000000
-11111001000000000111111001000000
-00001111100101000000001100100110
-10000010110010010000000000111110
-01010000010011111001100000000011
-00100100000000001101000110000000
-10111010010000000000111000010000
-00000011000000100000010000110000
+11111001000000000011001001000000
+00001111100100000000001111100100
+00000000110010011000000000110010
+01000000000011001001000000000011
+00000100010000001100100100000000
+00110010010000000000110010010000
+00001011000000100000010000110000
00000000000000000000000000000000
10000000000001000110010000000000
-10111001000000000010111001000000
-01001011100100000000001010100100
-00001000100010010000000000101110
-01100000000010111001100001000011
-01100101000001001101100110001000
-00100010010000000000100010010000
-00000010001000000000000000010000
+10111001000000000010101011000000
+00001011101101001000001011100100
+00000000100010010100000010100010
+01000000001010000001110000000010
+00100100000000001000100100000000
+00100010010000000000100000010110
+01000010001000000000000000010000
00000000000000000000000000000000
00011000000001010010010000000000
-10111001000000000010111001000000
-00001011101100000000001000100100
-00001001100010010000000001101110
-01000000000010111001000100010010
-00100100101000001001100100100000
-00101010010000000000101010010001
+10111001000000000010001001000000
+00001011100100100000001011000100
+00000000100010010101000000100000
+11000000000010001001100000001010
+00100100000000001000000100000000
+10100000011000000000100010111000
00000010000001100000000001000000
00000000000000000000000000000000
00001000000001000000010000000000
-10110001000000000010110001000000
-00001011001100100000001010000110
-10100001100000010000000000101100
-11000000000010110001000000000010
-01000100100000101001000100100000
-00100010010010010000100000010010
-00000110000000100000000100000000
+10111001000000000010100001100000
+10001011000100000000001011000100
+10100010100000010010100000100000
+01000000000010000011000000000010
+00001100100000001000000100000000
+00100000011000000000100010010000
+00000010000000100000000100000000
00000000000000000000000000000000
10111000000011010110000000000000
-11111000000000000010111000000001
-00001011100001010000001100100001
-11000000110000000101000000111110
-00000000000011111010000000000011
-00100000000100001101000001010000
-00111010000000000100111010100000
-00001011001011100000001101010000
+11111000010100000011001000000000
+00001111100000000000001111100001
+11000000110010000111000000110010
+00010100100011001000000000000011
+00100000000000101100101001010000
+00110000000101000000110010000000
+00000011001011100000001101010000
00000000000000000000000000000000
-10011000000111011110010000000000
-11111001000000000011111101001010
-00001111110100010100001111100100
-00000000101111010000000100111111
-01000000000011110101000000100011
-11110100010000001111110100010000
-00111111010001000000111111010001
+10011000000111011100010000000000
+11110101000000000011111001000000
+00001111100100000000001111100100
+00000000101110010000000000111111
+01000000010011111101000000000011
+11110100010000001111100100000100
+00111110010000000010111111010000
00000011111001100000011001110000
00000000000000000000000000000000
-00011000000001011110010000000000
-11111001000000000011111001010000
-00001100110101000000001100110100
-00000000110010010000000000111111
-01000000000011111101000000000011
-11010100000000101100110100000000
-00110011010010000000110011010010
-00000011000001100000000001110000
+00011000000001011111010000000000
+11111001000000000011111001000001
+00001111100100000000001111100100
+10000000110011010110000000111110
+01010000000011111101000000000011
+00010100001000001000110100010000
+00111111010000000000110001010000
+00000011110001100000000001110000
00000000000000000000000000000000
00111000000100001110000000000000
-10111000000000000010111000000000
-00001000100000000000001101100001
-10100000110110000010100000101110
-00000000010010111000000000000010
-11100000000100001000100000100100
-00101010000100001000100010000100
-00000010000011100000010000110000
+10110000001010000010111000000000
+00001011100000000000001011100001
+10100000110110000110000000101110
+00001000100010111000000000100010
+00100000000000001000100000000000
+00101110000010100000100010000000
+00000010110011100000010000110000
00000000000000000000000000000000
00001000000001011100010000000000
-10110001000000000010110001100000
-00001000000101000000001000000101
-00010000100000010000000000101100
-01000000000010110001000000100010
-11000101000000101000000101001010
-00100110010001000000100000010001
-00000010000000100000000101110000
+10110001000000000010110001000000
+00001011000100000000001011000100
+01000000100000010011000000101100
+01001000000010110001000000000010
+01000100000000101000000100100000
+00101100010000000000100000010000
+00000010110000100000000101110000
00000000000000000000000000000000
-00011000000101011010010000000000
-10111001000001000010110001001000
-00101000100100011000001001100100
+00011000000100011010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
00000000100110010000000000101110
-01000100110010111001000000010010
-11100111000000001000101100100000
-00101110010000000000100010010100
-00000010000001100000010001100000
+01010000000010111001000001100010
+01100100000000001000100100000000
+00101110010000000010100010010010
+00000010110001100000010001100000
00000000000000000000000000000000
10100000000101011110010000000000
-11111001000000000011111001100000
-00001100100100000001001100100100
-00000000110010010000100000111110
-01111000000011111001000100010011
-11100111000000101100100101001000
-10110110010000001000110010010000
-00001011001010000000010001110000
+11111001110010000011111001000000
+00001011100100000011001111100100
+00000000110010010000000000111110
+01010100000011111001000000001011
+01000110000000101100100100000000
+00111110010000000000100010010000
+00000011111010000000010001110000
00000000000000000000000000000000
00101000000000011010010000000000
-11111001000000000011111001000000
-00001111100110000000000011100100
-00000000111110011100000000111110
-01000010000011111001000000000011
-11100100000000101111000100000000
-00111010011100000010111100011100
+11111001100100100011111001000000
+00001111100100000000001111100100
+00000000111110010000100100111110
+01000000000011111001110000000011
+10100100100000001111100100000000
+00111110010000000000111110010000
00000011110010100000000001100000
00000000000000000000000000000000
-00101000000100001010000000000000
-11111000000000000011111000000000
-00001100100001011000001100100000
-00100000111110000000000000111110
-00000100000011111000000010010011
-00000001000100101100100001000000
-00110010000000000000110010000000
-10001011000010100000010000100000
+00101000000100001010000010000000
+11111000000000000011001000000000
+00001111100000000000001111000000
+00001000111110000000000000110010
+00000000000011001000000000000011
+00100000001000101100100000010000
+00111110000001000000111110000000
+10000011000010100000010000100000
00000000000000000000000000000000
-00101000000001010010100000000000
-10111010000000000010111010000000
-00001000111001000000001000111010
-00000000101110100000000000101111
-10000000000010111110010000000010
-00111000001001101010111010000000
-00110100100000000000110111101000
-01000010000010100000000001000000
+00101000000001010011101000000000
+10111010000000000010001010100000
+00001011101010100000001011101000
+00010000111011100100110010100010
+10000000001010000110101100000011
+01111000000000001000111000000000
+00101111100000000000101111100000
+00000010000010100000000001000000
00000000000000000000000000000000
-00101000000001010100110000000000
-10110011000000000010110011000000
-00001000101101000000101000101110
-01000000101100110000000000101100
-11000000000110110011010000100110
-00001111100000101000001110000000
-00100000110001000000100000100000
+00101000000001010100111101000000
+10110011000000000010000011000000
+00001011001100000000001011001100
+00000001101100111100000000100000
+11000000000010000011000000000010
+00000100000000001000000110000000
+00101110111000000000101100110000
00000010000010100000000001010000
00000000000000000000000000000000
10100000000000010001110000000000
-10110111000000000010110111001000
-00101000011100000000001000010100
-00010000101101110010000000101101
-11000001000010110111100000000010
-00011000000000001010001100001000
-00100101110000000000100101100000
-10000010001010000000000001000000
+10110111101000001110000101010000
+00001011011000000000001011011100
+00000000101001111000000000100001
+11100001000010000110000000000010
+01010100000000001000011100000000
+00101101110000000000101111111000
+00000010001010000000000001000000
00000000000000000000000000000000
-10101000000010000001111000000000
-11110111100000000011111111101100
-00011100110110000000001000011110
-00000000101101111100100000111101
-10100000010011110111100000001011
+10101000000010000001111000000001
+11110111101100000010000110100010
+00001111010110001000001111011110
+10000000111111111000000010110011
+11101000000011000111100000000011
00010010000000101100010110000000
-00110001111000000000110000101000
-00000011001010100000001000000000
+00111101111000000100111101111000
+00001011001010100000001000000000
00000000000000000000000000000000
00001000000111011010110000000000
-11111011000000000011111011011100
-00011111101000000000001111101100
-00000000111110110010000000111110
-11000000000001110001000001000011
-11101000000000001101101100000001
-00111100110000000100111110000000
+11111011011000000011111001001000
+00001111100001000000001111101101
+01100000111110010000000000111110
+11010010000011110011000000000011
+11100100000000101111101000000000
+00111110100000000100111110110000
00000011110000100000011001100000
00000000000000000000000000000000
-00000000000001011111111000000000
-11111111100000000011111111100000
-00001110111110000000001101111110
-01000100111111111001001000111111
-11100000000011111111100000100011
-00110010000000001100110110000000
-10110011011001000000110001001000
-00000011000000000000000001110000
+00000000000001011101011000000000
+11001111100010000011111111100100
+00001111111110000000001111111110
+00000100111111011000001000110011
+11100010000011111111100000000000
+00110110000000101100110110010000
+00111111111000000000111111111000
+00000011110000000000000001110000
00000000000000000000000000000000
-10101000000100011001110000000000
-10110111000000000010110111000000
-00001000010100010000001101010100
-01000000101101110000000100001101
-11000010000010110111001000001010
-10010001001000001111010100000000
-00100001010011000000100001000010
-00000010101010100000010001100000
+10101000000100011001000000000000
+11010111001000000010110111010000
+00001011011001000000001011011100
+00000000101101000000000000100001
+11000100000010110110000000000010
+00010100100100001000010100000000
+00101101110000000000101101110010
+00000010111010100000010001100000
00000000000000000000000000000000
-00000000000000001001110000000000
-10110111000000000010111111000000
-00001010010100000000001000010000
-01000100101101110000010000001101
-10000100000010111111000000100110
-00010000010000001000000100000000
-00100001010100000010100001000000
-00000010000000000000000000100000
+00000000000000001001010000000000
+10000111000000010010110110000000
+00011011010100000000001011011100
+00000000101101110000000000100001
+11000000000010110110000000000010
+00010100000000001000010100000100
+00101101010000000000101101110000
+00000010110000000000000000100000
00000000000000000000000000000000
-00100000000101001100110000000000
-10110011000000000010110011110000
-00001000000001000000001001100000
-00000000101100111000100100101100
-11000000000010110001000000010010
-10000000000000001011000100000000
-00100000011001000000100000000010
-00000010100010000000010000110000
+00100000000101001100000000000000
+10010011000000000010110011000000
+00001011000000000000001011101100
+00001000101110000000000000100000
+11110001000010110010000000100010
+00000100000000001000000000000000
+00101100000000000000101100110100
+00000010110010000000010000110000
00000000000000000000000000000000
-10101000000101011010110000000000
-10111011000000000011110111110010
-00001110101100000000001100101100
-00000000111111110010000000111110
-11010000000011110010100000000011
-00000010000010101100101100000000
-10110010010000000000110010100100
-00000011001010100000010001100000
+10101000000101011010100000000000
+11001111000000000011111011000000
+00001111101100000000001111111100
+00001000101110110000000010100011
+11100100000011111011001000000011
+00000100000000001100100100000000
+00111100110000000100111110110100
+00000011111010100000010001100000
00000000000000000000000000000000
-10000000000000001110110000000000
-11111011000000000011111011001000
-00001111100111000000001111100000
-00000000111110110000010000111110
-01000000000011111000100000000011
-11100001001000001110101101000000
-00111110010000000000111100100100
+10000000000000001110010000000000
+11111011000000010011111000000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000100000011111011010000000011
+11101000000000001111100100000000
+00111110110000000000111110111100
00000011111000000000000000110000
00000000000000000000000000000000
-00000001000100001111110000000000
-11111111000000000011111111000000
-00001101000100001000001100110100
-00000000110011110000000000110011
-11000010000011001110000000010011
-00110000000000101100010000000000
-00110000010000000000110011100000
-00000011000000000100010000110000
-00000000000000000000000000000000
-10000001000001000110110000000000
-10111011000000000010111011000000
-00001010100010000010101010100011
-00000010100000110000010000101000
-01110000000011011000100000001010
-00100011000011101000101000000001
-00100010011001000000100010101010
-00000010001000000100000000010000
+00000001000100001111100000000000
+11111111000000000011111110000000
+00001100111000000000001111111100
+00000000110010000000000000110011
+11000000000011111111000000000011
+00110000000000001100110110000000
+00110011110000000000110011110000
+10000011110000000100010000110000
00000000000000000000000000000000
-10000000000001010010110000000000
-10111011000000000010111011000000
-00001001101011000000001000101111
-00000000100010110000000000101010
-11100010010010001010110000000010
-00100010000000001000100100000000
-00100010010000000000100010001000
-01000010001000000000000001000000
+10000001000001000110010101000000
+10111011000000000010110000000000
+00001000101011000000001011101100
+00000000100010001000100000100010
+11000000000010110001110000000010
+00100011000000001010100010000000
+00100010100000000000100010011010
+00000010111000000100000000010000
00000000000000000000000000000000
-00001000000001000000110000000000
-10110011000000000010111011000000
-00001000000000000000011010000000
-00000000100010110000000000101010
-01000000000010010000000000001010
-00000000000000001000000100000000
-10100000010000010010100000000000
-00000010000000100000000100000000
+10000000000001010010100000100000
+10111011000000000010111001001000
+00001000100100010000001011101100
+00000000100010011000000000100010
+11000000000010111010110000000010
+00100011000000001000000100100000
+10100010110000000000100010111000
+01000010111000000000000001000000
+00000000000000000000000000000000
+00001000000001000000000000000000
+10110011000000000010011000000000
+00001000000100000000001011001100
+00000010100000000000000000100000
+11000000000010111010000000010010
+00001000000000001010000100000000
+00100010110000000000100000110000
+00000010110000100000000100000000
00000000000000000000000000000000
-00000000000011010110110000000101
-10111011000000010011111111000000
-00001101100000000000001100100000
-00010000110011110000000010111010
-00000000000011001010000000000011
-00100000000000101100100000000000
-10110010010000000000110010000000
-00000011000000000000001101010000
+00000000000011010110100000000000
+11111111000000010011111000000000
+00101100100000000000001111111100
+00000000110010000000000010110011
+11000000000011111010000000000011
+00100000000000001100100100000000
+00110010010000000000110010110000
+00000011110000000000001101010000
00000000000000000000000000000000
-10100000000111011111110000000000
-11111111000000000011110111000000
-00001111110000000000001111110000
-00001000011111110000000000100111
-01000000000011110101000000000011
+10100000000111011111000000000000
+11111111000000000011111100000000
+00001111110000000000001111111100
+00000000111111000000000001111111
+11000000000011110110000000000011
11010000000000001111110000000000
-00111111010000000010111111010000
+00111111000000000010111111000000
00000011111010000000011001110000
00000000000000000000000000000000
-11000000000001011111110011000000
-11000111100101000011011110000001
-10001101010110000000001111111100
-11000000111111110000000000110011
-01100000000011111111000000000011
-00111110000001001101110100000000
-00000011101000000000110001101000
-00000011001100000000000001110000
+11000000000001011111011000000000
+11111111001000000011111110100000
+00001101110110000001001111111100
+11000100111111110010000000110111
+11001110001011001111100000000011
+11111110000000001111110100010000
+10110111111000000000111111111000
+00000011111100000000000001110000
00000000000000000000000000000000
-10000000000100001111110101000000
-10001011001000001011011011100000
-00001000100110000010001111111101
-10000000101101110010000000100010
-01100000010010110111000000010010
-00001100000000001000110100000000
-00100010110000000000100010101000
-00000010001000000000010000110000
+10000000000100001110011000000000
+10111111010100000010111011100000
+00001011100110000010001011111100
+01000000101111110011100000100011
+11011000000011001011100000000010
+11101110000000001011100101000000
+00100010111000000000101110111000
+00000010111000000000010000110000
00000000000000000000000000000000
-10001000000001011100110000000000
-10001011000001000110110001000000
-00001001001100000000001011001100
-01000000101100110001100000100000
-01000000000010110011010001000010
-00001100000000001001000100000000
-00100000110000000000100000100000
-00000010001000100000000101110000
+10001000000001011100010000000000
+10110011001010000010110010000000
+00001001000100000000001011001100
+10000000101100110010000000100000
+11001000000110000011000000000010
+11001100000000001011000101100000
+00100100110000000000101100110000
+00000010111000100000000101110000
00000000000000000000000000000000
-11000000000101011010110000011000
-10001011100000001110111011000000
-00001000101100000000001011101100
-00000000101110110000000000100010
-01100000000010110011000000000010
-00001100000000001000000100000000
-00100000110100000000100010100000
-00000010001100000000010001100000
+11000000000101011010010000010100
+10111011000000100110111011000100
+00001011100100000000001011101100
+00000001101100110000000000000010
+11000000000010001011000000000010
+11101100000000001011100100000000
+00100010110000000000101110110000
+00000010111100000000010001100000
00000000000000000000000000000000
-01000000000100011110110000010000
-11001001110000000011110010110010
-10001101100100000000001111101100
+01000000000100011110010000000000
+11111011000001000011111000110000
+00001101101100000000001111101100
00000000111110110000000000110010
-01000000000011111011000000000011
-00101100000000001101100110000000
-00110010110000000010110010100000
-00000011000100000000010001110000
+11000000000011001011000000000011
+11101100000000001111101100000000
+00110110110000000000111110110000
+00000011110100000000010001110000
00000000000000000000000000000000
-11100000000000011010110000000000
-11111111000000000011011110100100
-00101111111100000000001110111100
-00000000111111110000000100111111
-01000000000011111111000000000011
-11111100000000001111110110010000
-00111111110100000000111111100000
+11100000000000011011011010000000
+11111111000000000011111101000000
+00001111111111000000001111101100
+00000000111111110000010100111111
+11000000000011101111000000000011
+11111100000000001111101100000000
+00111111110000000000111111110000
00000011111110000000000001100000
00000000000000000000000000000000
01000000000100001010110000000000
-11001010010000000011011001000000
-00001110100100000000001110101100
-00000000111000110000000000110010
-11000100000011111011000000000011
-00101100000000001110100110000000
-00110010110100000000110010100000
-00000011100100000000010000100000
-00000000000000000000000000000000
-11001000000001010011110000000000
-10000011000000000000001010100001
-00101000101110100000001101111100
-00000000101111110000000000100010
-11110101000010111111000000000010
-00101111100001001000100100000000
-00110010111000000000100000100000
-00000010001100100000000001000000
+11111011000000000011111000000100
+00001110101100000000011111101100
+00000000110010110000000000110010
+11000001000011111011000000000011
+11101100000000001111100100000000
+00111110110000000000111110110000
+00000011110100000000010000100000
00000000000000000000000000000000
-11100000000001010110110000000001
-10000011000000000010010010000010
-00101010000110000000001010001100
-00000000101000110000000000100000
-11000001000010110011000000001110
-01001100001000001010000100100000
-00100100110000000000100000100000
-00000010101110000000000001010000
-00000000000000000000000000000000
-00100000000000010001111000000100
-10001111100100000010000010100100
-00001000110110000000001000011110
-00000000101101111000000000100001
-11100000000110110111110000000110
-01011110000011001000011110000010
-10100001111100100000100001101000
-00000010000010000000000001000000
+11001000000001010010111000100000
+10111111000000000010111001000000
+00000011101100000010011111111100
+00000000100011110000001000100011
+11000000000010111011000000000010
+11101100000001001011100100000000
+00110010110000000000101110110000
+00000010111100100000000001000000
00000000000000000000000000000000
-01001000000010000000110001000000
-11000011010000000011010011000110
-00101110001100000000001010001100
-00000000111000110000000000110000
-01000100000011110011000000000011
-01001100100000001110100100000000
-00110110110000000000110000100000
-00000011100100100000001000000000
-00000000000000000000000000000000
-01000000000111011011110100000000
-11111111000001000011101110000100
-00101111111100000000001111111100
-01000000111111110000000100111111
-01010000000011111111000000000011
-10111100000000001111111101010000
-00111111110000000000111111100000
+11100000000001010100110110000001
+10110011000000000010110010000010
+00001010000100000101001011001100
+00001000100100110000000000101010
+11000001000110110011000000000010
+11001100000000001011100100000100
+10101000110000000000101100110000
+00000010111110000000000001010000
+00000000000000000000000000000000
+00100000000000010001111000000101
+10110111100000000010110110100000
+00001011010110000000001010011110
+00000000100100111000000000101001
+11100000010110110111100000000010
+11011110000000001011010110000000
+00100001111000000000101101111000
+00000010110010000000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000100010011110010010001
+00001110001100000000001011001100
+00000010110100110000000000111000
+11001000000011110011000000000011
+11001100000000001111000100100000
+10111000110000000000111100110000
+00000011110100100000001000000000
+00000000000000000000000000000000
+01000000000111011011110000100000
+11111111010101000011111110000000
+10001111111100001000001111111100
+00000000111011110000000000110111
+11000000000011111111000000000011
+11111100000000001111110100000000
+10111111110000000000111111110000
00000011110100000000011001100000
00000000000000000000000000000000
-10101000000001011110110110000000
-11110011000000000011001010011010
-00001100100101110100001111101100
-10100000110010110100000000111110
-11001000000011111011001010000011
-01101110000000001000101100000000
-00110010110000010010110010100000
+10101000000001011110011010000000
+11101011010000000011111011000000
+00001111100100100000001111101100
+10100000111110110100000000111110
+11111011000011001011000000000011
+11101100000000001111101100000000
+00110110110000010000111110110000
00000011111010100000000001110000
00000000000000000000000000000000
-01001000000100011001110100000000
-10110111000000001010000110000000
-00101000011100001000001011001101
-00000100100001110101000000101101
-11010000000010110111001000001010
-00011100000000001000001100000001
-00100001110000000000100001100000
+01001000000100011001010010100000
+10000111001000000010110111001100
+00001111010100001000001011011101
+00000000101101110101000000101101
+11001000000010000111000000000010
+11011100000000001011111100000000
+00100001110000000000101101110000
00000010110100100000010001100000
00000000000000000000000000000000
-11000000000000001001111010000000
-10111111100000001010100111100000
-00001000010110101000001011011110
-10000000100001111000000000101101
-11100000010010110111101100000110
-01011110000000001000011110000001
-00100001111000000000100001101000
+11000000000000001011111010100000
+10100111100100000010110111100100
+00011011011110100100001011011110
+10000000101101111010000000101101
+11100100001010000111100000000010
+11011110000000001011010110000000
+01100101111000000000101101111000
00000010111100000000000000100000
00000000000000000000000000000000
-01001000000101001100110000000100
-10110011010000000010100010000000
-00001000001100000000001011001100
-00000000100000110000000000101100
-11000000000010110011000000000010
-00001100000000001000101100000000
-00100010010000000000100000100000
+01001000000101001100111000000001
+10100011000000000010110011001100
+00001010001100000000001011001100
+00000000101100110000000000101100
+11000000000010000011000000000010
+11001100000001001011000100000000
+01100000110000000000101100110000
00000010110100100000010000110000
00000000000000000000000000000000
11101000000101011010100000000000
-11110110000000000011101010010100
-00001100101000000001001111101000
-00001010110010100000000000111110
-10000000000011111010000000000011
-01101000000000101100101010100000
-10110011100100000000110011100000
+11101010000000010011111010100000
+00001011101010100000001111101000
+00000000111110100000000000111110
+10000000000011001010000000000011
+11101000000000001111101000100000
+00110110100000010000111110100000
00000011111110100000010001100000
00000000000000000000000000000000
-01001000000000001110000000000000
-11111000000011000010010000010000
-00001111100000000000001111100000
+01001000000000001110000000001000
+10010000000000010011111000000000
+00000111100000000000001111100000
00000000111110000000000000111110
-00010000000011111000010000000011
-11100001000000001111100000000000
-00111110000000100000111110000000
+00000000000011011000000000000011
+11100000000100001111100000000000
+00111110000000000000111110000000
00000011110100100000000000110000
00000000000000000000000000000000
00001000000100001110010000000000
-11111001000000000011001011101000
-00001100100100000000001111100100
-00000000110010010000000000111110
-01000000001011001001110000001011
-00100110000000001111100100100000
-00110010011000000000110010010000
+11111001000000000011001001000100
+00001111100100000000000110100100
+00000000111100010000000010110010
+01000001000011111001000000000011
+11100100000000001111000110000010
+10110010010000000000111110010000
00000011110000100000010000110000
00000000000000000000000000000000
-10000000000001000110010000000000
-10111001000000010010101001010010
-00101010101111011000001011100100
-00000000100010010000000000101100
-11000000000010001001000000000010
-00100100000000001011100100000000
-00100010011011000000100010010000
+10000000000001000110110000000000
+10111001000000000010001011100010
+00001011001100000000001000100100
+00000000101110010000000000100010
+01000000000010111001000000000010
+11100100000000001011100100010000
+00100010010000000000101110010000
00000010111000000000000000010000
00000000000000000000000000000000
-00011000000001010010010000000000
+00011000000001010010010110000000
10111001000000000010001001000000
-00001000100100000000001011000100
-00000000100010010000000000101110
-01000000000010000001010000000010
-00100100100000001011100100000000
-10100010010000000000100010011000
+00001011100101100000001010100100
+00000000101110010000000000100010
+01000000000010111001000000000010
+11100100000000001011100100000000
+10100010010000000000101110010000
00000010110001100000000001000000
00000000000000000000000000000000
-00001000000001000000010010100000
-10111001000000000010100001001010
-00101010000100000000001011000100
-10100010100000010010100000101110
-01000000000010000001001010000010
-00001100000000001011000110100000
-00100000010000000010100000011000
+00001000000001000000010000000000
+10110001001010011010000001000000
+00001011100100000000001010000100
+10100000101100010010100000100000
+01001010000010110001000000000010
+11000100000000001011000100100000
+10100000010000000000101100010000
00000010110000100000000100000000
00000000000000000000000000000000
-10111000000011010110000010000000
-11111000000000000011001000001000
-00101100100000000000001111100000
-10000000110010000010000000111110
-10000000000011000000011100000011
-00000001010001001111100001010100
-00110000000101000000110010000000
+10111000000011010110000000000000
+11111000001000000011001000000000
+00001111100000000000001110100000
+10000000111110000010001000110000
+00001000000011111000000000000011
+11100000000011001111100001010000
+00110010000000000000111110000000
00000011111011100000001101010000
00000000000000000000000000000000
-10011000000111011110010010100000
-11111101001010010011110001000000
-00001111100100000000001111100100
+10011000000111011100010000000100
+11111001001010000011111001000000
+00001111100100000000001001100100
10100000111110010010100000111110
-01000000000011111001000000010011
-11100100000000001111110100010000
-00111111010000000000111110010000
+01001010000011111001000000000011
+11100100000010001111110100010000
+00111110010000000000111110010000
00000011111001100000011001110000
00000000000000000000000000000000
-00011000000001011110010010000010
-11000011000100000011000101100000
-00001101100100000000001111100100
-11000000111110010100000000111110
-11000000000011111001011010000011
-00110100010000101100110100100000
-00110011110000000000010111010000
+00011000000001011110010000000100
+01111001000100100010111001000000
+00001111100100000001001111100100
+10000010100010010100010110110010
+01011000001011001001000000000011
+11100100000000001111110100000000
+10110110010000000000111110010000
00000011110001100000000001110000
00000000000000000000000000000000
-00111000000100001110000100000000
-10001010000000000010001000010000
-00001000100000000000001011100001
-00000000101110000100000000101110
-00000000010010110000010000000010
-00100000100000001000100000101000
-10100010100010100000100010000000
+00111000000100001110000000000000
+10111000010100000010111000000000
+00001011100000000100001011000001
+10100000110010000100000000100010
+00011000000010001000000000000010
+11100000000000001011100000100000
+00100010000000000000101110000000
00000010110011100000010000110000
00000000000000000000000000000000
-00001000000001011100010100100000
-10000001001000000010000001010000
-00001001000100000000001011000100
-11000000101100010110000000101100
-01000000010010110001001000000110
-00000100000000011000000101000000
-01100010010000000000100100010000
+00001000000001011100010000000000
+10110001001000000010110001000000
+00001011000100000000001011000101
+00000000100000010100000000100000
+01001100000010000001000000000010
+11000100000000001011000101001000
+00100100010000010000101100010000
00000010110000100000000101110000
00000000000000000000000000000000
-00011000000101011000010000001000
-10000001000000000010001011000100
-00001000100100000010001011100100
-00000000101110010000000000101110
-01000000000010111001000000000010
-00100100000000011000100100000000
-00100010010010000000100010010000
-00000010110001100000010001100000
+00011000000101011010010000001000
+10111001000000000110111001000001
+00001011100110000000011011100100
+00000000100010010000000000100010
+01000000000010001001000000000010
+11100100000000001011100100010000
+10100010010000000000101110010000
+01000010110001100000010001100000
00000000000000000000000000000000
-10100000000101011110010000000000
-11001001110001000011001001101000
-00001101100110000000001111100100
-00000000111110010000000000111110
-01100100000011111001000000001011
-00100100000000001100100100000001
-00110010010100001000110110010000
+10100000000101011110010000001000
+11111001000000000011111001000000
+01001111100100000000001111100100
+00000000110010010000000000110010
+01000000000011001001000000000011
+11100100000000001111100100000000
+00110110010000000000111110010000
00000011111010000000010001110000
00000000000000000000000000000000
-00101000000000011010010000000000
-11111001010000001011111001000010
-00101111100101000000001111100100
-00000000111110010000000000111110
-01000000000011110011000000000011
-11100100000000001111000100000000
+00101000000000011010011001001000
+11111001000000000011111001000000
+01001111100100000000001111100100
+00000000111010010000000000111100
+01000000000011111001000000000011
+11100100000000001111000110000000
00111110010000000000111110010000
-10000011110010100000000001100000
+00000011110010100000000001100000
00000000000000000000000000000000
00101000000100001010000000000000
-11001000000000010111001000010000
-00001100100010000000001111100000
-00010000111110000000000000111110
-00000000000011111000000010000011
-10100000000001001100100000001000
-10110010000110000010110010000000
+11111000000000000011001000000000
+00001111100000001000001111100000
+00000000110010000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00110010000000000000111110000000
00000011110010100000010000100000
00000000000000000000000000000000
-00101000000001010010100000000000
-10001010000000000010000110110000
-00001000101000000000001011101000
-00000000101110100000000100101110
-10100000000010111010010000000011
-00111000000001001000111000001000
-00100001101010000000100011101100
+00101000000001010010101000000000
+10111010000000000110001010100000
+00001011101010100000001011101000
+00000000100010100000000000101110
+10000000000010111010000000000011
+10101000000000001011101000000000
+00100010100000000000101110100000
00000010110010100000000001000000
00000000000000000000000000000000
-00101000000001010100110000000010
-10000011000000000010000011100000
-01101000001100000010001011001100
-00000000101100110000000000101100
-11000100000010110011010000000010
-11101100000000101001001100000000
-00100100111100000000100000110000
+00101000000001010100111000000000
+10110011000001000010010011110010
+00001011001111000000001011101100
+00000011100010110000000000101100
+11000000000010110011000000000010
+11001100000000001011000100000000
+10100000110000000000101100110000
00000010110010100000000001010000
00000000000000000000000000000000
-10100000000000010001110010000000
-10001111001100001010000111000010
-00001000010100000000001011011100
-10000001101101110010000000101101
-10000000000010110110000000000010
-00011100000000001001001100000000
-00100101110000000000100001110000
-10000010111010000000000001000000
-00000000000000000000000000000000
-10101000000010000000111010010000
-11000111101000001010000111100000
-00001100011110001000001111011111
-00010000111101111010000000111101
-11100000000011110111100100000011
-11111110000000101101011110000000
-00110111111000000000110001011000
+10100000000000010001110100010000
+10110011101000000010010111000010
+01001011011100000000001011011100
+11001001100001110010000000101101
+11000000000010110111000000000010
+10011100000000001011010100000000
+00100001110000000000101101110000
+00000010111010000000000001000000
+00000000000000000000000000000000
+10101000000010000001111000100000
+11110111111000001010010101100010
+00001111011110001000001111011110
+01000000110001111110000000111101
+11101010000011110111100000000011
+11011110000001001111110110000000
+10110001111000000000111101111000
00000011111010100000001000000000
00000000000000000000000000000000
-00001000000111011010110001000000
-11111011010010000011111011000000
-00001111101101000000001111101101
-10110000111110110010100000111110
-11010010000011111010001000000011
-11100000000001001110100000000000
-00111010000000000000111110010000
+00001000000111011010000000001000
+11111011010010000011101010001000
+00001111101100000000001111101100
+10000000111110110010000100111110
+11000000000011111011000000000011
+11101100000000001111100100000000
+00111110110000000000111110110000
00000011110000100000011001100000
00000000000000000000000000000000
-00000000000001011111111100000000
-11111111100000000011000110100000
-00001101111110000000001111111110
-01000000110011111000100000110011
-11100000000011111110100000000011
-00111110000000001100111110000000
-00110001111000000000110101111000
-00000011000000000000000001110000
+00000000000001011111011000000000
+11111111100000000011001110100000
+10001100110010000000001111111110
+00000000010011111100000000111111
+11100110000011001111100100000011
+11111110000000001111110110000000
+00110011111000000000111111111000
+00000011110000000000000001110000
00000000000000000000000000000000
-10101000000100011001110001001100
-10111111000000001010000111000110
-00001000010100000000001011011100
-01000000100001110000000000100001
-00000100000010110110000000001010
-00111100100000001000010100100000
-10100001100000000010100001110000
-00000010001010100000010001100000
+10101000000100011001010100010000
+10110111001000000010000101000000
+00001101010000000000001011011100
+00000000100001110000000000101101
+11000000000010000111000000000010
+01011100000000001011110100000000
+10100001110000000000101101110000
+00000010111010100000010001100000
00000000000000000000000000000000
-00000000000000001001110000000000
-10110111000110000010000111000000
-00001001011000000000001011011100
-00001000100101110000000000100001
-11000000000010110111000000000010
-00011100000001101000011000001000
-01100011010000000000100111100000
-00000010000000000000000000100000
+00000000000000001001110001000000
+10110111000000000000001100010000
+00001000011100000000001011001100
+00000000100101110000000000101100
+11000000011010000111000000000110
+11011100000000001011010100000000
+10100001110000000000101101110000
+00000010110000000000000000100000
00000000000000000000000000000000
-00100000000101001100110000000000
-10110011010001010010000011110010
-00001000001010000000001011101100
-00000000100110110000000000100000
-01000000000010110010000000010010
-00100000000000001000000010000000
-01100000000100000000100000100000
-00000010000010000000010000110000
+00100000000101001100000000000000
+10111011000000000010000000000000
+00001001001100000000001011001100
+00000000100100110000000000101100
+11000000000010000011000000010010
+01001100000000001011100100000000
+00100000110000000000101100110000
+00000010110010000000010000110000
00000000000000000000000000000000
-10101000000101011011110000000000
-11110111000000100011000011000000
-00001101101010000000001111111100
-00010000110111110000000000110010
-01000000000011111001000000000011
-00101100000000001100101110000000
-00110010111111000000110110110000
-00000011001010100000010001100000
+10101000000101011010100000000000
+11111111000000001011001011000000
+00001100101100000000001111111100
+00000000110111110000000000111111
+11000000000011001011000000000011
+11101100000000001111100100000000
+00110010110000000000111110110000
+00000011111010100000010001100000
00000000000000000000000000000000
-10000000000000001110110000010000
-11111011001000000011111011000000
-00001111100000010000001111101100
-00000000111010110000000000111110
-10000000100011110001000000000111
-11100001000000001111101100000100
+10000000000000001110100000001000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00010000111010110000000000111110
+11000000000011111011000000000011
+01101100000000001111100100000000
10111110110000000000111110110000
-00011011111000000000000000110000
+00010011111000000000000000110000
00000000000000000000000000000000
00000001000100001111110000000000
-11111111000000000011011111100000
-10001100110110000000001111101100
-00000000110010110000000000110011
-00000000000011111111101000000011
-00111110000000001110011100000000
-00110011110000000000110011010000
+11111111000000000011001101000000
+00001111110011000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111111000000
+10110011110000000000111111110000
00000011110000000100010000110000
00000000000000000000000000000000
-10000001000001000110110000000000
-10111011000000101010001011110100
-00101000100101000000001011101100
-00000000100010110000000000100010
-10111000000010111011100000000010
-00100000010000001000100000000000
-00100000000000000000100010010000
-00010010111000000100000000010000
+10000001000001000110000100000000
+10111011000001000110001010000000
+00001011100000000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000011
+10101100000001001011101100000000
+00100010110000000000101110110000
+00000010111000000100000000010000
00000000000000000000000000000000
-10000000000001010010110000000000
-10111011000000001010001011000000
-00001000101001010000001011101100
-00000000100010110000000000100010
-01001000000010111000000000000010
-00101101000000001010101100000000
-00100010110000000000100010110000
+10000000000001010010000000000000
+10111011000000000010001010000000
+00001011100000000000001011101100
+00001000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011100100000000
+00100010110000000000101110110000
00000010111000000000000001000000
00000000000000000000000000000000
-00001000000001000000110000000000
-10111011000000000010000011000000
-00001000000000000000001011001100
-00000001100000110000000000100000
-00000000000010110000100000001010
-00000000000000001000000100000000
-00100010100000000010100000110000
+00001000000001000000000000000000
+10110011000000000010000001000000
+00001011000010000000001011001100
+00000001101100110000000000101100
+11000000010010110011000000000010
+10001100000000001011000100000000
+00100000110000000000101100110000
00000010110000100000000100000000
00000000000000000000000000000000
-00000000000011010111110000000000
-11111111000000000011001011000000
-00101100100000000000001111111100
-00000000110011110000000000110010
-00000000100011110011000000000011
-00101100000001001110001000000000
-10110010010000000000110010100000
+00000000000011010110110000000000
+11110111000000001010001000000000
+00001111100000000000001111111100
+00000000111111110000000000111111
+11000000000011111011000000000011
+11101100000000001111101100000000
+10110010110000010000111110110000
00000011110000000000001101010000
00000000000000000000000000000000
-10100000000111011111110000000000
-11111111000000000011111101000000
-00001111110000000001001111111100
-00000000111111110000000000111111
-00000000000011111111000000100011
-11110000000000001111110000000000
-00111111000000000000111111100000
+10100000000111011111000000001000
+11111111000000100011110100000000
+00001111110000000000001111111100
+00010000001111110000000000111111
+11000000000011111111000000000011
+10111100000000001111111100000000
+00111111110000000000111111110000
00000011111010000000011001110000
00000000000000000000000000000000
-11000000000101011111111000000000
+11000000000001011111111000000000
11111111100000000011111111100000
-00001100111101100000001100111110
-00000000011111110010000000011101
-10100000000011001100000000000011
-00111010000000001111011000000000
-00110011000000000000110001001000
+00001110111110000000001111111100
+10000000000011110100000000110011
+11100000000011111101100000000011
+00111110000000001111110110000000
+00111111111000000000111101011000
00000011111100000000000001110000
00000000000000000000000000000000
-10000000000100001110111000000000
-10111011100000000011101011100000
-00001000111100100001001100101110
-00000000101111110101000000101110
-10100000000010001010010001000010
-10100010000000001011100110000000
-00100010000000000000100010101000
+10000000000000001110111000000000
+10111011100000000010111011100000
+10001011101110000000001011111101
+10110000110011110011000000100010
+11100000000010111001100000000010
+00101110000000001011100110000000
+00101110111000000000101110111000
00000010111000000000011000110000
00000000000000000000000000000000
10001000000001011000110000000000
-10110011000000000010101011000000
-00001000001100010000001000001100
-00000000101100110000001000101110
-11000000001010000010010000000010
-00001110000000001011001010000000
-00100000100000000010100000000000
+10110011000000000010100011000000
+10001010001100000000001001001100
+11000000100000110000000000100000
+11000000000010110001100000000010
+00001100000001001011000100000000
+00101100110000000000101100010000
00000010111000100000000101110000
00000000000000000000000000000000
-11000000000101011110110000000000
-10111011000000000010101011000000
-01001001101100000000001000101100
-00000000101110110000000100101110
-00110000000010001010000000000010
-10100110000000001011100100000000
-10100010100000000000100010100000
+11000000000101011110110000000100
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000100010110000000000100010
+11000000000000111001100000000010
+00101100000000001011100100000000
+00101110110000000000101110110000
00000010111100000000000001100000
00000000000000000000000000000000
-00000000000101011110110000000000
-11111011000000000011100011000000
-00000100101100000000101100101100
-00010001111110110000000000111110
-11110000000011001010100100000011
-00101010000000001111101010000000
-00110010110100000000110010000000
+00100000000001011110110000000000
+11111011000000000011101011000000
+00001110101100000001001001101100
+00000010110010110000000010110010
+11000000010011111001000000001011
+00101100000000001111100110010000
+00111110110000000000111110011000
00000011110000000000010001110000
00000000000000000000000000000000
-11100000000000011011110000000000
-11111111000000000011101111000000
-00001110111100000000001110111100
-00001001111111110000000000111111
-11000000000011111111100000000011
-11110000000000001111110101000000
-00111111100000000000111111111010
+11100000000100011011110000000000
+11111111000010000111111111000000
+00001111111100000010001111111100
+00000000111001110000000000111111
+11000000000011111101000000000011
+11111100000000001111110100000000
+00111111110000000000111111110001
00000011111110000000000001100000
00000000000000000000000000000000
-01000000000100000010110000000000
-11111011000000000011111011000100
-00001111001100001000001100101100
-10001000111110110000010000111110
-11000001000011000010000000000011
-11101100001000001100011000000000
-00110000110100000000111010000001
+01000000000100001010110000000000
+11111011000000000111111011000000
+00001111101100000000001111001100
+00000100111110110000000000111110
+11000000000011111001100000000011
+00101100000000001111100100000000
+00111110110000000000111110010000
00000011000100000000010000100000
00000000000000000000000000000000
11001000000001010010110000000000
-10111011000000000010111011110100
-00001011111100000000001000101111
-00000000101111110000000000101100
-01010000000011111011000000000010
-11100100000000001000100110000000
-00110110101000100000100010110000
+10111011010000000010111011010100
+00001011101100000000001011111100
+00000000101111110000000000101110
+11000000000010110001000000010011
+01101100000000001011100100000000
+00101110110000000000101110110000
00000010001100100000000001000000
00000000000000000000000000000000
-11000000000001000000110000000000
-10110011000000000010110011100000
-00001011001101010000001000001110
+11000000000001010100110000000000
+10110011100000000010110011100000
+00001011001111100000001011001100
00000000101100110000000000101100
-10101000000010000010100101000010
-11000001000000001000001000010000
-00100000001000000000101000000000
-10001010001100000000000000010000
+11000000000010110001000000000010
+00001100000000001011000100000000
+00101100110000000000101100110000
+00000010001100000000000000010000
00000000000000000000000000000000
-00100000000100000001111000000000
-10110111100000000010110111100000
-00001011011110000000001000011110
-00000000101101111001000000101111
-10100000000110110110100000000010
-11110010001100001000111110000000
-00100101001000000000100001001000
+00100000000000000001111000000000
+10110111100000000110110111100000
+00001011011110001000001011011110
+00000000101101111000000000101101
+11100000000010111100110000000010
+01011110000000001011010110000000
+00101101111000000000101111111000
00000010000110000000010000010000
00000000000000000000000000000000
-01001000000010000000110000000000
-10110011000000000011110011001000
-00001111101100000000001000001100
-10100000111100110000000000111100
-11000010000011000010000000010011
-11000100000000101100001000000000
-00110010100000000100111000000000
-01000011000100100000001000010000
-00000000000000000000000000000000
-01000000000101001011110000000000
+01101000000110000000110000000000
+11110011000010000010110011000110
+00001111001100000000001111001100
+00010000111100110000000000111100
+11000000000011110001000000000011
+00001100000000001111000100000000
+00111100110000000000111100110000
+00000011000100100000001000010000
+00000000000000000000000000000000
+01000000000111001011110000000000
11111111000000000011111111000010
-00001111111100010000001111111100
-00000000101111110000000000111111
-11000000000011111110000000000011
-11010000000001001111111100000000
-00111111100000000000111111000000
+00001111111100001000001111111101
+01000000111111110100100000111111
+11000000000011110101000000000011
+11111100000000001111110100000000
+00111111110000000000111111110000
00000011110100000000010001100000
00000000000000000000000000000000
-00001000000001011110110000000000
+00001000000101011110110000000000
11111011000000000011111011000000
-00001111101100100000001111101110
-00000000110010110010100000110000
-11100000000000101010001010100011
-11000000000000001110101000000001
-00111110110000000000110010000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+00101100000000001111100100000000
+00111110110000000000111110110000
00000011110000100000000001110000
00000000000000000000000000000000
01001000000100011001110000000000
-10110111000000000010110111001000
-00001011011100110000001011011101
-10000000100011110100000000100001
-11000000000010000111000000000010
-11010100000000001000011000000000
-00101101110000000000101001010000
-00000000110100100000011001100000
+10110111000000010000110111001000
+00001011011100000000001011011100
+10000000101101110010000000101101
+11000000000010110111000000000010
+10011100000000001011011100000000
+00101101110000000000101101110000
+00000010110100100000011001100000
00000000000000000000000000000000
00100000000000001001111000000000
10110111100000000010110111100000
-00001010011110110000001011111110
-10000000100001111000000000100001
-11100000000010100110100010010010
-11110110000000001010011010000000
-00101111111000000000100001001000
-00000000110010000000000000100000
+00001010011110100000011011011110
+01000000101101111010000000101101
+11100000000010110101100000000010
+00011110000000001011010110000000
+00101101111000000000101101111000
+00000010110010000000000000100000
00000000000000000000000000000000
-01101000000101001100110000000000
+01001000000101001100110000000000
10110011000000000010110011000000
-00001011001100000000001011001100
-00000000100000110000000100100000
-11010000000010000011101000000010
-11000100000000001000001100100000
-00101100111100100000101000010010
+00001011001100000100001011001100
+00000000101100110000001000101100
+11000000000010110001000000000010
+10001100000000001011001110000000
+00101100110000000000101100110000
00000010110110100000000000110000
00000000000000000000000000000000
-11101000000001001010100000000000
+11101000000101001010100000000000
11111010000000000011111010000000
-00001110101000000000001111101000
-00000010110010100000000000110011
-10000100000011101010010000000011
-11011001000000001110111000000000
-00111111100100000000110011100000
+00001110101000000000000111101000
+00000000111110100000000000011110
+10000000000011110110000000000011
+00101000000000001111101000000000
+00111110100000000000111110100000
00000011111110100000010001110000
00000000000000000000000000000000
-01001000000100001010000000000000
-11111000000000000011111000010000
-00001111100000000000001111100001
-00000000111100000000000010111110
-00000000100011110000000000100011
-11100000001000001111100000000000
-00111100000001000000111110000000
+01001000000000001110000000010000
+11111000000000000011111000000000
+00001111100000000000011111100000
+00000000111110000000000000111110
+00000000000011111000100100000011
+11100000000000001111100000010000
+00111110000000000000111110000000
00000011110100100000000001100000
00000000000000000000000000000000
00001000000100001010010000000000
11111001000000000011111001000000
-01001111000111000000001100100110
-10000000111110010000000000110010
-01000100000011111011100000000011
-00100110000000001100100100000000
-00111110011100000000110010010000
-00000000110000100000010000100000
-00000000000000000000000000000000
-10000000000001000010010000000000
-10111001000000000010111011001000
-00001011100111000000001000101100
-10000000101110010000000000110110
-01000000000010111001000000000010
-10100100100000001000100100000010
-00101110010000000000100010010000
+00001100100100000000001111100100
+00000000110010010000000000111110
+01000000000011111001000000000011
+00100100000000001111100100000000
+00111110010000000000111110010000
+00000011110000100000010000100000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010010011000001
+00001000101100000000001011100100
+00001000100010010000000000101110
+01000000010010111001000000000010
+10100100000000001011100100000000
+00101110010000000000101110010000
00000010111000000000000000010000
00000000000000000000000000000000
00011000000001010010010000000000
-10111001000000000010111001000000
-00001011100100001000101000100100
-00000000101110010000000000100010
-01000000000010111001000100010010
-00100100010000001000100110000000
-00101110110000000000100010011000
+10111001000000010010111001010000
+00001000100100000000001011000100
+00000000100010010000000000101110
+01000000000010111001100000000010
+00100100000000001011100100000000
+00101110010000000000101110010000
00000010110011100000000001000000
00000000000000000000000000000000
-00001000000101000000010000000000
-10110001000000000010110001000000
-00011011000100100000001000000100
-00000000101100010010000000100100
-01000000000010110001001000000010
-10100100000000101000000100100000
-00101100010010000010100000011000
+00001000000001000000010000000000
+10110001000000000010111001000000
+00101000000100000000001011000100
+10000000100000010010000000101100
+01000000000010111001100000000010
+10000100000000001011000100000000
+00101100010000000000101100010000
00000010110010100000010100000000
00000000000000000000000000000000
10111000000011010110000000000000
11111000000000000011111000000000
-00001111100001010000001100100000
-00000100111110000101010000110010
-10000000010011111000010100100011
-00100000000000001100100000000000
-00111110000101000000110010000000
+00001100100000000000001111100001
+01000010110010000101001000111110
+00000000000011111000000000100011
+00100000000000001111100000000000
+00111110000000000000111110000000
00000011111011100000001101010000
00000000000000000000000000000000
-10111000000100011110010000000000
-11111001000000010011111001000000
-00001111100100010001001111000100
-00000000111110010001000000111111
-01000000000011111001000100000010
-11111100000000001111110100010000
-00111101010001000000111111010000
+10111000000111011110010000000000
+11111001000000000011011001000000
+00001111100100000101001111100100
+01010000101110010001010000111110
+01000000000011110101000000000011
+11100100000000001111110100000000
+00111110010000000000111111010000
00000011111001100000010001110000
00000000000000000000000000000000
-00111000000001011110010000000000
-11111001000000000011111001000000
-00001111110100101000001100100100
-00000000111110010010000000110011
-01000000000011001101001000000011
-00110100000000001100110100101000
-00111111010000000000110010010000
-00000010001001100000000001110000
-00000000000000000000000000000000
-00011000000100001110000000000000
+00111000000001001110010000000000
+11111101000000000011111001000000
+00001111100100000000001110100100
+00000000111110010100100000111110
+01000000000111111101000000000011
+00100100000000001111000100000000
+00111110010000000000111110010000
+00000011001001100000000001110000
+00000000000000000000000000000000
+00011000000000001110000000000000
10111000000000000010111000000000
-00001011100001101000001101100000
-00000000001100000110101000100010
-00000000000010001000001000000010
-00100000000000001101100001000000
-00101110000010000000101010000000
-00001010000011100000011000110000
-00000000000000000000000000000000
-01001000000000001000010000000000
+00001011100000000000001011100001
+11000000101110000000000000101110
+00000000000010111000000000000010
+10100000000000001011100000000000
+00101110000000010000101110000000
+00000010000011100000011000110000
+00000000000000000000000000000000
+01001000000101001000010000000000
10110001000000000010110001000000
-00001011000100000000001000000100
-00000000101100010001000000100010
-01000000000010000001010010000010
-00100100000100001000000100000000
-00101100010100100000100010010000
-00000010000100100000000000110000
+00001011000100000000001011000100
+00100000101100010000000000101100
+01000000000010110001000000000010
+00000100000000001011000100000000
+00101100010000000000101110010000
+00000010000100100000000000100000
00000000000000000000000000000000
00011000000101001010010000000000
-10111001000001000010111001000001
-00001011100100000000001001100100
-00000000101110010000000000100010
-01100000000010001001000000001010
-00100100000000001001100100000100
-00101110010010000000101010010000
-00000010000001100000000101100000
+10111001000000000010111011000000
+00001011100100000001001011100100
+00000000101110010000000000101110
+01000000010010111001000000000010
+10100100000000001011100100000000
+00101110010000000000101110010000
+00000010000001100000000000100000
00000000000000000000000000000000
-10100000010001001010010000000100
+10100000010001011110010000000100
11111001000000010011111001000000
-00001111100100000000001100100100
-00000000111110010000000010110000
-01100010001011001001100100000011
-00000101000000001100100100100000
-00111110010000000000110000010000
-00000011001010000000010001110000
+00000011100100000000001110100100
+00000000111110010000000000111110
+01000000000010111001100110000011
+00100100000000001111100100000000
+00111110010000000000111100010000
+00001010001010000000010001110000
00000000000000000000000000000000
-01101000000100001010010000000000
+01101000000100011010010000000000
11111001000000000011111001000000
-00001111100100000000001111100100
-00000000111100010000000000111110
+00011111100100000000011111100100
+00000000111110010000000000111110
01000000000011111001100000000011
11100100000000001111100100000000
-00111110010000000000111110110000
+00111110010000000000111110010000
00000011110100100000000001100000
00000000000000000000000000000000
00101000000100001010000000000000
-11111000000000000011111000000000
-00001101100000001000001111100000
-00000000110110000000000000110010
-00000000000011001000000000000111
-10100001000000001100100001000000
-00110010000000100000110010000001
-00000011000000100000010000100000
-00000000000000000000000000000000
-00001000000001000010100000000000
-10111010000000000010111010100000
-00001011111001001000001011101000
-00000000101110100000000010100011
-10000000001010001010100000000010
-00111000000000001000101000000000
-00100011101000000000110110100000
-00000010000010100000000001000000
+11111000000010000111111000000000
+00001111100000001000011111100000
+00000010110010000000000000110010
+00000000000011111000000010000011
+00100000000000001111100000000000
+00110110000000000000111110000000
+00000011110000100000010000100000
+00000000000000000000000000000000
+00001000000001010010100000000000
+10111110000000000010111010100000
+10001011101001000011001011101000
+00000000100010100000000000100010
+10000000000010110110010000000011
+11101000000001001011101000000000
+00110010100000000000101110100000
+00000010110010100000000001000000
00000000000000000000000000000000
00001000000001010100110000000000
-10110011000000000010110011000000
-00001001101101000000001011001100
-00000000101100110000000000100000
-11100000000010001011100100000010
-10001100000000001000001100010000
-00100010111000000000100000111000
-00000010000010100000000001010000
+10110011100000000010110011000000
+00001011001101000000001011001100
+00000001100000110000000000100000
+11000000000010110011010000000010
+00001100000000001011001100000000
+00100100110000000000101100110000
+00000010110010100000000001010000
00000000000000000000000000000000
00100000000000010001110000000000
-10110111000000000010110101010000
-00001011011100000000001011010100
-00000000101101110010000000100001
-10010001000010000111000000000110
-00111000000000001000011110000000
-00100001110000100000100111000000
-00000010001000000000010001000000
-00000000000000000000000000000000
-00101000000010001001111000000000
-11110111100000100011110110100011
-00001101011110000000001111011110
-01000000101101111100000100110001
-11100000000011001101100000010010
-10011110000000101100001110000000
-10110011111000010000110001001000
-10000011001000100000001000000000
+10110101010000000010110101010000
+00001011011100001010001011011110
+10000000100001111001000000100001
+11000000000110110111000010000010
+11011100000000001011111110000000
+00100001110000000000101101110000
+00000010111000000000000001000000
00000000000000000000000000000000
-00001000000111011010110000000000
-11111011000000000011111001001000
-00001111100000000000001111101001
-10000000111110110000100000111100
-01000000000011111001000000000001
-11000100000001001111100100000001
-00111110110000000000111100000000
-00001011110000100000010001100000
+00101000000000001001111000000000
+11110111100000000010110111100010
+00001111011110001000001011111110
+00100100110000111000100010110001
+11100001000011110110100000000011
+00011110000000001111011110000000
+00110101111000000000111101111000
+00000011111000100000001000000000
00000000000000000000000000000000
-01100000000001001011111000000000
-11111111100000000011111111100000
-00001111110110000000001100111110
-00000000111111111000000000111101
-01100000000011001101100000000011
-11011110000000001100011110000000
-00010011001001000000110011011000
+00001000000101011010110000000000
+11111001000000000011111000001000
+00001111101101100000001111101101
+11000000011110110110010000111110
+11000000010011110001000000000011
+01101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+01100000000001001011111001000000
+11110111100100000011111111100000
+00001110110010000000001100111110
+00010000111111111000000000110011
+11100000000011111111100100000011
+10111110000000001111111110000000
+00111111111000000000111111111000
00000011110100000000000000100000
00000000000000000000000000000000
-10101000000100001001110000000000
-10110111000000000011100101000100
-00001011111100000000001101010100
-00000000101101110000000000111101
-00000100000010000101000010000010
-11011000001000001000010100100000
-00100011000011010000100001000010
+10101000000000001001110000000000
+10110100010000000010000111000000
+00001011010001000000001000011100
+00000000101111110000010010100001
+11000100000010110111001100000011
+01011100000000001011011100000000
+00101101110000000000101101110000
00000010111010100000011000100000
00000000000000000000000000000000
-00000000000000001001110000000000
-10110111000000000010110110000000
-00001011010100000000001000011100
-00000000101101110000000000101111
-11000010000010000101000000000010
-11111101000000001001011100000000
-00100001100000000000100001000000
-00000110110001000000000000100000
-00000000000000000000000000000000
-01000000000101000000110000000001
-10110011000000000010100001000000
-00001011000000000000001001001000
-00000000101100110000010000101100
-01000000100010000001110000000010
-11000110000000001001000110000000
-00100010100000000000100000000100
-00000010110110000000000000100000
-00000000000000000000000000000000
-10101000000001010010110000000000
-11111011000000000001111011000000
-00001111101100000000001000101000
-00000001001111110000000001111100
-11000100000011001011100100010011
-11001101000000101101101100000000
-10110010100100000010110010111011
-00000010111010100000010001100000
+00000000010000001001110000000100
+10110111000000000010100111000000
+00001010110000000000001001011100
+00000000101101110000000000100001
+11000000000010111111000000100010
+10011100000000001011011100001000
+00101101110000000000101101110000
+00000010110001000000000000100000
+00000000000000000000000000000000
+01000000000101001000110000000000
+10110000000000000010000010000000
+00001011000000000000001000001100
+00000000001100110000000000000000
+11000000010010110011000000000010
+01001100000000001011001110000000
+00101100110000000000101100111000
+00000010110110100000000000100000
+00000000000000000000000000000000
+10101000000001011010110000000000
+00111010000000000011101010000000
+00001110101100000000101101111100
+00000001101111110000000000100010
+11000000000001111011010100000011
+10101100000000001111101110000000
+00111110110000000000111110110000
+10000010111010000000010001100000
00000000000000000000000000000000
10100000000100001110110000000000
-11111011000000000011111010000000
-00001111100101000000001111100000
-00000000111110110000000000111010
-00000000000011110011000000000011
-11101000000100001110101100010000
-00111110000110000000111110110000
-00000011111001000000000000110000
+11111010010000000011101001000000
+01001111100000000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+10101100000000001111101100000000
+00111110110000000000111110110000
+00000011111000000000000000110000
00000000000000000000000000000000
00000001000100001111110000000000
-11111111000000000010111110000000
-00000111111100000000001111110100
-00000000111011110000001000111111
-11000000000011001101100000000011
-00111100000000001100111110001010
-00111111100000000000110011000000
+11111110000100000011011110100000
+01001111111100000000001111011100
+00010000110011110000000000110011
+11000000000011111111110000000011
+11111100000000001111111100000000
+00111111110000000000101111110000
00000011111010000000010000110000
00000000000000000000000000000000
10000001000001000110110000000000
-10111011000000100010111010110000
-00001011100011001100001011100001
-10010000101110110000000000101110
-01100000001010001001100000000010
-10100100000000001000101110000000
-00101110001010000000100010000000
-00000011101010010100000000010000
+10110010010000000010001000010100
+00001011100011000000001011101100
+00000000100010110000000000100010
+11000000000010111011100010000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111010000100000000010000
00000000000000000000000000000000
-10000000000001010010110000000000
-10111011000000000010111001000110
-00001011100010000000001011101010
-00000000101110110000000000101110
-11100000001010001001001000000110
-00101100000100011000101100100001
-00101110001000000000100010010110
+10000000000001010010110000000100
+10111001000000000110011000000000
+00001011101100010001001011101100
+00000000101000110000000000100010
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
00000010111000000000000001000000
00000000000000000000000000000000
-00001000000001000000110000000000
-10110011000000000010110000000000
-00011011000000000000001011000000
-00000000101100110000000000101100
-00000000000010000011000000000010
-10001000000000001000000100000100
-00101100000000000010100000010000
+00001000010001000000110000000000
+10110000000000000010000001000000
+01011011000000000000001011001100
+00000010101000110000000000100000
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
00000010110000100000010100000000
00000000000000000000000000000000
-00000000000011010110110000000000
-11111011000000000011111000000000
-01001111100000000000011111100100
-00000100111111110000010000101110
-11000000000011001001000000000011
-00101100000000101100101100000000
-00111110100000000000110010000000
+00000000000111010110110000000000
+11111001000000000011011000000000
+00001111101100000000001111111100
+00000100111011110000000010110010
+11000000000011111011000000000011
+11101100000100001111101100000000
+00111110110000000000111110110000
00000011111000000000001101010000
00000000000000000000000000000000
10100000000111011111110000000000
-11111111000000000011111100000000
-00001111110000000000001111110000
-00000000111111110000000000111111
-01000000000011111101000000100011
-11110100000000001111110100000000
-00111111000000000000111111000000
-00000011101010000000010001110000
+11111100000000000011111100000000
+00001111010000000010001111111100
+00000001110111110000000001111111
+11000000000011110111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111010000000010001110000
00000000000000000000000000000000
-11000000000001011011011000000001
-11101110000000000011001110100000
-00001111111100000000001110111100
-10010000111111011000000000111111
-11001010000011001100000000000011
-00110000000000001100110110000000
-00111111100000000000110011010000
+11000000000101011011110000100001
+11000111100000000011101110100000
+00001111111010000000001111111101
+10000000110111011000000000111111
+11010000100011011101100000000011
+01110010000000001100010110000000
+00110011111000000000110011111000
00000011111100000000000001110000
00000000000000000000000000000000
-11000000000000001110011000000000
-10001000000011000010001010100000
-01001011111101000000001000111100
-11101000101110011000000000101111
-11010010001010001010100000000010
-00101010000100001000100010000110
-00101110111000001000100010011000
+11000000000010001111110000000000
+10001011001001000010001000100000
+00001011101010000000001011111101
+11000100101110111000000000101101
+11000000000010001011100000000010
+00100010000000001000100110000101
+00100000110000000000100010111000
00000010111100000000010000110000
00000000000000000000000000000000
-11001000000001001110010000000000
-10100001001000000010000010000000
-00001011001101000000001010001100
-00000000101100110000000100101100
-11001000010010000000000000000010
-00000100000000001000000100000000
-00101100100000000010100000010000
+11001000000001001100110000100000
+10000011000010100010100000000000
+00001011001100000100001011001100
+10000000100100010000000100101100
+11001100110010001001000000000010
+01100100000000101000000100000001
+10100010110000000000100000110000
00000010111100100000000101110000
00000000000000000000000000000000
-11000000000101011010110001000000
-10001001000000100010001010000010
-01001011101100000000001000101100
-00000000101110010000000001101100
-11000000010000001010000000000010
-00101100000000001000101111000000
-00101110110000001000100010111000
+11000000000001011010110000000000
+10000011000000100010001001000000
+00001011101100000000001011101100
+00000000001110110010000001101110
+11000000000010001011000000000000
+00101110000000001000100111000000
+00100010110000000000100010110000
00000010111100000000010001100000
00000000000000000000000000000000
-10000000000001011100010000000000
-10101001000000001011001001110000
-10001111101100000000001110101100
-00000000111110010000001001111110
-11000000000011001000010000001011
-00100000000000001100100110000000
-00111100000100101100110010010000
-00000111110000000000010001110000
-00000000000000000000000000000000
-11100000000100011011010000000000
-11111111101000000001111101000100
-00001111111100000000001111111100
-00000000111111110000000101111111
-11000000000011111111001000010011
-11011100000000001111111100000000
-00111110010100000000111111010000
-00010000111110000000000001100000
-00000000000000000000000000000000
-01010100000100001010010000001000
-11111011000001000011001001000100
-00001111101100000001001111101100
-00000000111110110000000000111110
-11000000000011110101010001000011
-00101100000000001100100101000000
-00111110000000000000110010111000
-00010011110101000000010000100000
+10000000000101011110110000010000
+10001001000000000011101011000000
+00001011101000000011001111101100
+00000000110110011000000001111110
+11000000100011000001100100000011
+01000111100000001100100011000000
+00110000111001000010110010110000
+00000011110001000000010001110000
+00000000000000000000000000000000
+11100000010000011001110000010000
+01111111100100000011111111000000
+00001111111000100000001111111100
+00000000011111110000001101111111
+11000000000011111111100000000011
+11110100000000001111111100000001
+00111111110000000000111111110000
+00000011111110000000000001100000
00000000000000000000000000000000
-11001000010001010010110100000000
-10111011000000000010001001110000
-00001011111100000000001011111100
+01010100000100001010110000000000
+11001011000001000011001000000000
+01001110101100000000011111101100
+00000000110110010000000000111100
+11000001000011001001000000000011
+11101100000000001111101111001000
+00110010110000100000110010110000
+00000011110101000000010000100000
+00000000000000000000000000000000
+11001000000001010011110000000100
+10101011000000000011011011000000
+00001011101100100000001011111100
00000000101110110000000000101111
-11000000000010111011000000000010
-00101100000100001000101100000001
-00101110010000000000100010111000
+11000000000010001011001100000010
+11101110100001001011001101000000
+00110110111010000000100010110000
00000010111100100000000001000000
00000000000000000000000000000000
-11000000000001000000110000101000
-10111000000000000010000010000010
-00011011101100001000001011001100
-00000000101100010000000000101000
-11000000000010110010100001000010
-00000000000000011000000100000000
-00101100100000000000100000010100
-10000010111110000000000001010000
-00000000000000000000000000000000
-11110000000000000001011000100000
-10110000100101000010000111100000
-10001011011110010000001011011110
-00000000101101011000000000101101
-11100000010010110110100000010010
-00001010000000111000011110010000
-00101101111011000000100001011000
-00000010111111100000000001000000
-00000000000000000000000000000000
-01001000000110000000110000001001
-11110001000000001011000010000000
-00001111001100000000001111001100
-10000000111100110001000000111100
-11000100000011110010000011000011
-00000100000000001100000100010000
-00111100100010000010110000110000
+11000000000000000000110000001000
+10000011000000000010010010101000
+00001010000000100000001011001100
+00000000100100010000000100101100
+11000000000010000001000000000010
+11000110000000001011000100000100
+00100000110100000000100000110000
+00000010111110000000000001010000
+00000000000000000000000000000000
+11110000000000000000111000000000
+10101111100100000010010100100000
+00001011010010000000001011011110
+00000000101101011000000100101101
+11100000000010000101100000000010
+11010110000001001011110110000010
+00100101111001000000100001111000
+00000010111111000000000001000000
+00000000000000000000000000000000
+01001001000110000000110000000000
+11000011000000000011010000000010
+00001110000000000000001011001100
+00000000110100110010000000111100
+11000100000011000001000000000011
+11001100000000001111000100100000
+00110000010001000000110000110000
00000011110100100000001000000000
00000000000000000000000000000000
-11000000100111001011110000100000
-11111101000000000011111111000000
-10011111111100000000001111111100
-00000000111111010000000000111111
-11010001000011110110010000001011
-11111100000001001111111100010100
-00111111110010000100111111110000
+11000000000011001011110000000000
+11111110000001000011111101000000
+00001111110000000000001111111101
+00000000111111110000000000111111
+11010000000011111101000000000011
+11111100000000001111110100000000
+00111111010001000000111111110000
00000011110100000000011001100000
00000000000000000000000000000000
-00001000000101011110110100100000
-11111011010001100011001010001010
-00011111101100101000001100101100
-00000010110010010100100000111110
-11010000000011111010010000000011
-11100000000010001100100110000000
-00110010010000000000110011010000
+00001000000001011110110110000000
+11110011000000000011001011000010
+00001111101100101000001111101111
+01000000110110010010100000111110
+11010000000011111011001010000010
+11000100000000001101101100000100
+00111110111000010000110010110000
00000011111010100000000001110000
00000000000000000000000000000000
-11001000100010011001010000000000
-10110111000010001010000111001000
-10011011001100100000101000111100
-11000000100001110100000000101101
-11011000100010110110000000010010
-11001100000000001000011100000000
-00101000110000000000100001010000
+11001000100000011001110110100000
+10110111000000000010000111001000
+00001011011100100000001011111100
+00010010110001010100000000101101
+11001000000010110111010000000010
+11010100000000001000011100000000
+00101111110000000000100001110000
00000010111100100000010001100000
00000000000000000000000000000000
-00100001000000001001111010000000
-10110111100000000010000110100100
-00001011011110010000001000011110
-01000000100001111000000001101101
-11100000000010110110100000000010
-11011110001000001000110110000001
-00100001011000000000100001111000
+00100001000000001001111000001000
+10111111100000001010000100100100
+00001011011110010000001011011110
+00000000100001111000000000101101
+11100100000010110111100000000010
+11011110000001001001011110000000
+00101101011000000000100001111000
00000010111000000000000000100000
00000000000000000000000000000000
-01101000000001001100110000000000
-10110011111101000010000011100000
-00001011101100000000001000001100
-00000000100000110000000000101100
-11000000100010110010000000000010
-11001100000000101000001100000000
-00101000111011000000100000110000
+01101000000101001100110000000000
+10110011110000000010000011000000
+00001011001101100000001011001100
+00000000100000110000000000101110
+11000001000010110011100000000010
+11001110000100001001001101000000
+00101100010000000000100000110000
00000010110100100000010000110000
00000000000000000000000000000000
-11100000100101001010100000001000
-11111010010000000011001010100000
-00001011101000000001001100101000
-00001000110010100000000000111110
-10000000000011111010010100000011
-11111010010000001100111001000000
-00110011100000000010110011100010
+11100000000001001010100000010100
+11111110101000000011001010110010
+00001111101011000000011111101000
+00000000110010100000000000111110
+10000000000011111010101000000011
+11011000000000001101111000100000
+00111110100000000010110010100000
00000011111110100000010001100000
00000000000000000000000000000000
-01001000000000001010000000000100
-11110000000000010011111000100100
-00001111100000000000001111100000
-00000000111110000100000000111110
+01001000010100001110000000000000
+11111000001000011011111000010010
+00001111100000010000001111100000
+00000000111010000100000000111110
00000000000011111000000000000011
-11100001000000001111100000001100
-00111110000000000000111110000000
+11100010010000001110100000000000
+00111110000000000100111110000000
00000011110100100000000000110000
00000000000000000000000000000000
-00001000000100001010010000000000
-11111011000000001011001001000000
-00001111100100000000001101100100
-00010000110010010010000000111110
-01000000000011111011000000000011
-11000100010000001110100100000001
-00111100010000000000110010010000
+00001000000100000010010000000000
+11111001000000001011101001000000
+00000011100100000000001111100100
+00000000111110010000000001111110
+01000000000011001001000000000011
+11100110010000001110100110001010
+00111110010100000000111110010000
00000011110000100000010000110000
00000000000000000000000000000000
-10000000000001000010110000010000
-10111001000001100000001011110000
-00000011100110010000001000100100
-00000000100010110100100000101110
-01000000000010111001000000000010
-11100110000011000000100100000000
-00101110010000000000100010010000
-00000010111000000000000000010000
-00000000000000000000000000000000
-00011000000001010010010000000000
-10111001000000000010001001000100
-01000011100100000000001001000100
-00000000100010010000000000101110
-01000000000110111011000000000010
-11100100001000001000100100000100
-00101110010000000000100010010000
+10000000100001000110010000000000
+10111001000000000000001011001000
+10001011101101000100001011100100
+00000000101110111100000001101110
+01000000100010001011000000000010
+11100110000000001000100110011000
+00101110010000000000101110010000
+00100011111000000000000000010000
+00000000000000000000000000000000
+00011010000001010010010000000000
+10110011000000000010101001000000
+01011011101100000000001011100100
+00000000101110010001000100101110
+01000001000010001001000000000010
+11100100000000001010100100000100
+00101110010000000000101110010000
00000010110001100000000001000000
00000000000000000000000000000000
-00001000100000000000010000000000
-10110001001010000010000011000000
-00011011001100101000001000000100
-10101100100000010000000000101100
-01001010010010110001001000000010
-11000100100000001000000100000100
-00101100010010000010100000010010
+00001010000001000000010010100100
+10110001000000000010000001000000
+10011011000100000000001011000100
+10100000101100010000000000101100
+01001010000010000001000000000010
+11000110000000001000000100000000
+00101100010000000000101100010000
00000010110000100000000100000000
00000000000000000000000000000000
-10111000000111000110000000000000
-11110000001000001011001000000000
-00011111100001110000001101100000
-10000000110010000000000000111110
-00001000000011111000000000000011
+10111000000111000010000010010100
+11111000000000000011101000000001
+00001111101000000000001111100000
+10000000111110100000000000101110
+00001000000011001000000000000011
11100000000000001110100000000000
-00111110000000000000110010000000
+00111110000101000000111110000000
00000011111011100000001101010000
00000000000000000000000000000000
-11111000100111011110010000000000
-11111001001010001011111001000000
-00001111100100000001001111100100
-10100010111110010000000000111110
-01001010000010111001000100000011
-11110100010001101111010100000000
-00111111010001000010111111010001
-00000011111001100000011001110000
+11111000110011011110010010100000
+11111101001010001011111011000000
+00001111100100000000001111100100
+10111000111110010000000000111110
+01001010001011111001000000000011
+11110100000000001111110100000001
+00111111010000000000111110010000
+00000011101001100000011001110000
00000000000000000000000000000000
-10111000000101011110010000000101
-11101001101100010011111001000001
-10001111110101100001001101100100
-11010000111110110000000000110010
-01001100000011000001000010000011
-11100100000000101100101100000000
-00111110010000000000110010010010
+10111010000101011110010100100000
+11100001000000000011011001000000
+00001111100100000000001111100100
+10000000110010010000000000111110
+01001100000011001001000000000011
+11110100000000001111110100000000
+00111111010001010000110010010000
00000011111001100000000001110000
00000000000000000000000000000000
-01011001000000001010000000000001
-11101000010000010010111000000001
-00001110100000100000001000100001
-11000001101110000000000000100010
-00011101001010001000010000000010
-11100001010000001000100000000000
-00101110000100000000100011000100
-00000010110011100000010000110000
-00000000000000000000000000000000
-01001000000001001000010000000000
-10100001011000000010110001000000
-00001011000101100000011001000100
-00000000101110010000000000101000
-01000000000010000101100000000010
-11010110000100001000011100000100
-00101101110100000000100101010001
-00000010110100100000000101110000
-00000000000000000000000000000000
-00011000100101001010010001010000
-10101001100000000010111001000000
-00001010100100000000001000100100
-00000000101110010010000000101010
-01000000000010001001000000000010
-11110100000000001000110100100000
-00101111010000000100100111011000
-00000010110001100000010001100000
+01011001000000000010000010000001
+11101000000000000010001000000001
+00001110100000000000001011100001
+11100000100010000000000000101110
+00011101000010001000000000000010
+11100000000000001011100000000000
+00101100000000000000100010000000
+00000011100011100000010000110000
00000000000000000000000000000000
-10100000000101001010010000000100
-11101001001000100011111001000000
-00001111100100000001001101100100
-00010000101100010000000000111010
+01001001010001001000010000001000
+10100001001010010010010001000000
+00011011000100000000001011000100
+00000000100000110000000000101100
+01000000000010000001000000000010
+11000100000000011011000100000000
+00101100010000000000100000010000
+01000010110100100000000101110000
+00000000000000000000000000000000
+00011000000001001010010000000001
+10100001000000000010001001000000
+00001010100101000000001011000100
+00010010100010010000010000101110
+01000000001010001001000000010010
+11100100000000011011100100000000
+00101110010000000010100010010000
+00000010100001100000010001100000
+00000000000000000000000000000000
+10100000000001001010010000001000
+11101001110110010001011001100100
+00001111100100000000001111100100
+00000000110010010000000000111110
01000000000011001001000000000011
-11100101010000001100100110100000
-00111110010000000010110110010000
+11100111001101001111100100001100
+00111110011000000000110010010000
00000011111010000000010001110000
00000000000000000000000000000000
-01101000000000001010011000010100
-11111001000000000011111001000000
-10001111000100001000001111100100
-00010000111110010000000010110110
-01000000000011111001000000000011
-11000100000010101111100110000000
-00111100010000000000111010010000
-10000011110110100000000001100000
-00000000000000000000000000000000
-01101000000100001010001001000000
-11111000010001110011001000000000
-00001111100000000000001101100000
-00010000110010001000000000111100
-00000000000011111000000001000011
-00100000000000001100100000000000
-00111110000000000000110011000000
-00001011000010100000010000100000
+01101000000000001010010000000000
+11111001100000000011111001000000
+00001111100100000000001111100100
+00001000111110010010000000111100
+01000000000011111001000010000011
+11100100101000001111100111000010
+00111110010010000000111110010000
+00000011110110100000000001100000
00000000000000000000000000000000
-10000000100001000010101000000000
-10111010000000000010001010000000
-00001011111000000000001000101000
-00010010100010100000000000101110
-10000000010010111010000000010010
-00101000000010001000101000000001
-00101110100000000000100010100000
-00000010000010100000000001000000
+01101000000000001010000000000000
+11011000010001000011101000010000
+00001111100000010000001111100000
+00000000110010000010000000111110
+00000000000011111000000000000011
+11100001000001001110100000001010
+00111110000000100000110010000000
+00000011110010100000010000100000
00000000000000000000000000000000
-01001000000001010100110000000000
-10110011000000000010000011000000
-00001011001011000000001001001100
-00000000100000111000000000001100
-11000000000010110011000000000010
-00001100000010101000001100000000
-00101100110000000000100000100100
-00000010000010100000000001010000
+10000000100001000010100000000100
+10001010000001000010001010101001
+00001011101010000000001011101000
+00000000100010100000000000101110
+10000000000010111010100010010010
+11111000100000001000111000000100
+00101111100000000000100010100000
+00000010110010100000000001000000
00000000000000000000000000000000
-01100001000000010001100000000100
-10110111101000000010000111000000
-00001011011101000000001000001110
-10000100100001110100000000101101
-11000100000110110101100000001010
-00001100000000001000011100000100
-00101101110000000010100000101000
-00000010001010000000000001000000
+01001000000101010100110000000010
+10000011000000000010100011100000
+10001011001100000000001011001100
+00000010100000110000000000101100
+11000000000010110011010000000010
+11001110000000001010001110000010
+00101110110100000000100000110000
+00000010110010100000000001010000
00000000000000000000000000000000
-00101000100110000001111000000101
-11110011100100000011000110100000
-00011111111110000000001101011110
-10001001110001111000100000111101
-11100000000011110111100000000011
-00010010000000001100011110000000
-00111100111000000000110001101000
-00000011001010100000001000000000
+01100001000000010001111010000000
+10001111001000001010100111000000
+00001011011100000000001011001100
+00001000000001110000000000101101
+11000000000010110100000000000010
+11011110000100001001010100001001
+00101101110000000000100001110000
+00000110111010000000000001000000
+00000000000000000000000000000000
+00101000100110000011111010000001
+11000111101000000011100111100000
+00011111011010000000001111011111
+10001001010001111000000000111101
+11101100000011110111100100000011
+11011110000000001110011110000000
+00111101011000000010110001111000
+00000011111010100000001000000000
00000000000000000000000000000000
-01001000000111011010100100100000
-11111011011000001011111001010010
-00001111101100000000001110101100
-10100100111110110000000000111110
-11010000100011111001000000100011
-11100000000000001111101100000000
-00111110110000000000111110100000
+01001000000111011010110011100000
+11101011010000000011011010010010
+00001111101101000000001111101101
+00000000111110110110100000111110
+11000000000011111000000000000011
+11001100000001001110100100000000
+00111110110000000000111110110000
00000011110000100000011001100000
00000000000000000000000000000000
11100000110011001011111000011000
-11111111100010100011111111100000
-00001101111110000000001100111110
-00100001111111111001000000111111
-11110000000011001111100100000011
-00111110010000001100110110000000
-00110001011000001000110001111000
-00000011010100000000000001110000
-00000000000000000000000000000000
-10101000000000001001000000000000
-10110111000100000010110111000100
-00001101011000000000101000011100
-00000000101101110001000000101101
-11000000000010000101011100010010
-00011100110000101000010100011001
-00100001010000000000100001110001
-10000011001010100000010001100000
+11111111110010000011101111100000
+00001101110111000000001100111111
+00000000110011001000000000110111
+11100010000011111111100000000011
+11011110000000001110111110010010
+00111111111000000000110011111000
+00000011110100000000000001110000
+00000000000000000000000000000000
+10101000000000001001110000001000
+10111111000010000011010101010000
+00001101011100000000001101011100
+00000000100001000000000000100001
+11000000000010110110010100000010
+11011100010100001000010000000000
+00101101100100000010100001110000
+00000010111010100000010001100000
00000000000000000000000000000000
00010010000000001001110000000000
-10100111000000000010111110000000
-00001000001100000000001000011100
-00000000101101000001000000101101
-11000000000010000011000001000010
-00010000000000011000010100000000
-00100001010000100000100001111000
-00000010000001000000000000100000
+10100111000000001010101111000100
+00001000110000000000001001001100
+00000000100011110000100000100101
+11000000000010110111000010000010
+11011000000000001010011101000000
+00101101010000000000100001110000
+00000010100001000000000000100000
+00000000000000000000000000000000
+01000000000101001000110000000000
+10110011110000100010010000110000
+00001001001100000000001001001100
+00000100100000111000000000100000
+11000001000010110010100001000010
+11001010000000001000000110000001
+00101110100000000000100000110000
+00000010110110100000010000110000
+00000000000000000000000000000000
+11111000000000011011110000000000
+11101111110000010011101000000010
+00001100101100000000001101111100
+00000000100010111000000000110111
+11000000000011111011100000000011
+11001110000000001110101110000000
+00111110010000000000110010110000
+00000011101011100000010001100000
+00000000000000000000000000000000
+10100100000100001110110000010000
+11111011001000000011111010001000
+00001111101100000000001111101100
+00000010111110110000000000111110
+11000000000011111001000000000011
+11101100010000001111100100010000
+00111110100000000000111110110000
+00100011111000000000000000110000
00000000000000000000000000000000
-01000000000101001000001000010000
-10110011000000110010110001010010
-10001001001100000000001000001100
-00010100101100001000000000101110
-11000000000010000001010000000010
-00000000000000001000000110000000
-00100000011000000000100000110000
-00000010000110100000010000110000
-00000000000000000000000000000000
-11111000000000011010010000010000
-11101111100000000011111001010000
-00001100100100000000001100111100
-00010000101110001000000001111111
-11000000000011001010010000000011
-00101110000000001100001010000000
-00110010101100000000110010001000
-00001011001011100000010001100000
-00000000000000000000000000000000
-10100100000100001110010101000000
-11110011000100100011111010010001
-00001111100101000010001110001100
-00000000111110000001000000111110
-11000000000011111000110000010011
-11101110001000001111101000100000
-00111100100000000000111110000000
-00100011101000000000000000110000
-00000000000000000000000000000000
-11000001000100001111010000010000
-11101111000000000011001100110000
+11000001000100001111110000010000
+11001111000000000011001101010000
00001111111000000000001111111100
-00000000110011010000000000111110
-11000000000011001110000010000011
-11000000000000101100111000000000
-00110011100000100000110001000000
-00000011001000000000010000110000
+00000000010111000000000000111111
+11000000000011111111000000000011
+11111100000000101100111100000000
+00111111010000000010110011110000
+00000001111000000000010000110000
00000000000000000000000000000000
-10000001010001000110010010000000
-10111011000000000010101000110000
-00001110101111100001001011101100
-00000000100010010000000000101110
-11000000000010001000000000000010
-11100000000000001000101000000000
-00100010100000000000100010000000
-00000010001000000000000000010000
+10000001010001000110110000000000
+10000011000000110010001010000000
+00001110101100000000001011101100
+00000000101110000100100000101110
+11000000100010111001100100000010
+11101110000100001000100110000110
+00101110101101000000100010110000
+00000010111000000000000000010000
00000000000000000000000000000000
-10000000000001010110101000000000
-10111011000000000010001001010000
-00001011101110000100001011101100
-00000000100010101100000000101110
-11000000000010101010000000000010
-11101100000000001000100000000000
-00100010000000000000100010010010
-00000010001000000000000001000000
+10000000000001010100110000000010
+10011011000000000010001000000001
+00001011100100000000011011101100
+00000000100110001000000000101110
+11000000000010111000000000000010
+11101110000000001000101110000000
+00101110010000000000100010110000
+00000010111000000000000001000000
00000000000000000000000000000000
-00001010000001000000000000000000
-10110011000000000010100010000000
+00001010000000000000110000000000
+10001011000000000010000000000000
00001011001100000000001011001100
-00000010100000100000000000101100
-11000000000010000000000000000010
-11001100000000001000000010000000
-00100000001000000000100000010000
-00000010000000100000000100000000
+00000000101100000000000000101100
+11000000010010110000000000000010
+11001100000000001000000000000000
+00101100100000000010100000110000
+00000010110000100000000100000000
00000000000000000000000000000000
-10000000000000000110100000000001
-11101111000000000011001000000000
-00001111100100000000001111111100
-00001001110010000000000100111111
-11000000100011001010000000000011
-11100000000000001100100000000000
-00110010000000000000110010010000
-00000011001000000000001101010000
+10000000000000000111110000000001
+11001111000000010011001001000000
+00011111100000000000001111111100
+00000000110110000000000000111111
+11000000000011111000000000000011
+11101000000000001100100100000000
+00111100010000000000110010110000
+00000011111000000000001101010000
00000000000000000000000000000000
-10100010000101011011000000000001
-11111111000000000011110100000000
-00001110110000000100001111111100
-00000000111111000000000100111111
-11000001000011111100000000000011
-11110000000000001111010000000000
-00111111000000000000111111010000
+10100010000101011111110000001001
+11111111000000001011110100000000
+01001110011100000010001111111100
+00000000111101000000000000111111
+11000000000011111100000000000011
+11110000000000001111110100000000
+00111111100000000000111111110000
00000011111010000000011001110000
00000000000000000000000000000000
11000000000001011111111000000000
-11111100100000000011111111100000
-00000111110100000000001111111100
-10000000010001111000000000111111
-11000000000011001111100000000011
+11111111100000000011111111100000
+00001111011110000000001111111100
+01000000111011110000000000101111
+11100000000011111111100000000011
11111110000000001111111110000000
-00110011111000000000111111111000
+00111111111000000000111111111000
00000011111100000000000001110000
00000000000000000000000000000000
10000000000100001110111000000000
-10111000100000000010111011100001
-00001011100100101001001011111101
-00000000100010111000000000101111
-11100000000010001011100000000010
-11101110000100001011101100001000
-00100010111000000000101110111000
+10111011100000000110111011100000
+01001011101110000000001011111101
+11010000101111111000000000101110
+11100000000010111011000000000010
+11101110000000001011101110000000
+00101110111000000000101110111000
00000010111000000000010000110000
00000000000000000000000000000000
10001000000001011100110000000000
-10110000000000000010110011000000
-00001011001000100000001011001101
-10101001100000110000000000101100
-11000000000010000011000000000010
-11001100000000001011001100100000
-00100000110000000000101100110000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101000110000011000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
00000010111000100000000101110000
00000000000000000000000000000000
11000000000101011010110000000000
-10111001000000000010111011000000
-00001011101000010000001011001100
-00000000100110110000010000101110
-11000000000010001011000000000010
-11101100000000001011001100000000
-00100010110000000000101110110000
+10111011000000000010111011000000
+01001011101100000000001011101100
+00000100101110110000010000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
00000010111100000000010001100000
00000000000000000000000000000000
-00000000000101011110110000000000
-11111001000000000011111011000000
-00001111100111000001001111101100
-00000010110010110000000100111110
-11000000001011001011000000000011
+00100000000101011110110000000000
+10111011000000000010111011000000
+00001011101100000000001111101100
+00000000111010110000000000111110
+11000000000011111011000000000011
11101100000000001111101100000000
-10110010110000000000111110110000
+00111110110000000000111110110000
00000010110000000000010001110000
00000000000000000000000000000000
11100000000000011011110000000000
-11111101000100000011111111000000
-00001111110110000000001111111100
-00000100111011110000000000111111
+11111111000000000011111111000000
+00001111111100000001001111111100
+00001000111111110000000000111111
11000000000011111111000000000011
11111100000000001111111100000000
00111111110000000000111111110000
00000011111110000000000001100000
00000000000000000000000000000000
01000000000100001010110000000000
-11111010000000000011001011001000
-00001111100101000000001100101100
-00000100110110110000000000101010
-11100000100011001011000000000011
+11111011000000000011111011000000
+00001111101100000010001110101100
+00000000101110110000000000111110
+11000000000011111011000000000011
11101100000000001111101100000000
00111110110000000000111110110000
00000011110100000000010000100000
00000000000000000000000000000000
11001000000001010010110000000000
-10111011000000000010001011100000
-00001011100100000000001000111100
-00000000100010110111000000100001
-11000000010011011011010100000010
+10111011000000000010111011000000
+00001011101101010001001000111100
+00000000101111110000000000101110
+11000000000010111011000000000010
11101100000000001011101100000000
00101110110000000000101110110000
00000010111100100000000001000000
00000000000000000000000000000000
-11100000000001010100110000000000
-10110010000000000010000011010000
-00001011001000000000001000001100
-00010000100000110000000100101000
-11000000100010000011010000000010
+11000000000001010100110000000000
+10110011000000000010110011100000
+00001011001100000000001010001100
+00000000101100110000000000101100
+11000000000010110011000000000010
11001100000000001011001100000000
00101100110000000000101100110000
00000010111110000000000001010000
00000000000000000000000000000000
-00100000000100010001111000000000
-10111110100000000010000111100000
-00001011011010000010101000011110
-00001000100011111000000000100001
-11110000000010010111100000000010
+00100000000000010001111000000000
+10110111100000000010110111100000
+00001011111110000000001000011110
+10001000101101111001000000101101
+11100000000010110111100000000010
11011110000000001011011110000000
00101101111000000000101101111000
00000010110110000000000001000000
00000000000000000000000000000000
-01001000000010000000110000000000
-10110000000000001011000011000010
-00001111101001010110001100101100
-01000000110000110001000000111000
-11000000000011000011001000000011
+01101000000010000000110000000000
+11110011000000000011110011001010
+00001111001100000000001110001100
+00000000111100110000000000111100
+11000000000011110011000000000011
11001100000000001111001100000000
-00111100110010000000111100110000
+00111100110000000000111100110000
00000011110100100000001000000000
00000000000000000000000000000000
-01000000000101011011110000000000
-11110101000000000011111111000000
-00001111111000000000001111111100
-00001000111011110000000000111101
-11010100000011111111000000000011
+01000000000111011011110000000000
+11111111000000000011111111000000
+00001111111100011000001111111100
+10100000111111110000000000111111
+11000000000011111111000000000011
11111100000000001111111100000000
00111111110000000000111111110000
00000011110100000000011001100000
00000000000000000000000000000000
10101000000001011110110000000000
-11111011000000000011111011000100
-00001011101100000000001111101100
-10100100101110110000000000011110
-11001000000011111011100000000011
-00101100000000001111101110000000
-00110010110000000000111110110000
+11111011000000000011111011100000
+00001100101100000000001111101110
+10100000110010111010000010010010
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
00000011111010100000000001110000
00000000000000000000000000000000
01001000000100011001110000000000
-10110111000000000010110111001000
-00001011011100000000001011011100
-10010000101101110100000000101101
-11001000000010110111000000000010
-00011100000000001011111100000000
-00101001110000000000101101110000
+10110111000000000010110111010000
+00001000011100000000001011011100
+00000000100000110101000000100001
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
00000010110100100000010001100000
00000000000000000000000000000000
11000000000000001001111000000000
-10110110100000000010110111101000
-00001011011110000000001011011110
-01000000101101111000001000101101
-11100100000010110111100000000010
-00011110000000001011011110000000
-00100001111000000000101101111000
+10110111100000000010111111100000
+00001000011110100000001011011110
+00000000101001111010000000100001
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
00000010111100000000000000100000
00000000000000000000000000000000
-01001000000101001100110000001000
+01001000000101001100110000000000
10110011000000000010110011000000
-00001011001100100000000011001100
-00000000101100110000000000101100
+00001000001100000000001011001100
+00000000100000110000000000100000
11000000000010110011000000000010
-00001100000000000011001100000000
-00101000110000000000101100110000
+11001100000000001011001100000000
+00101100110000000000101100110000
00000010110100100000010000110000
00000000000000000000000000000000
-11101000000101011010100000000000
-10111110100100000011111010000000
-00010111111000100000001111101000
-00000000111110100000000000111110
-10000000000011111010000000001011
-00101000000010001111101000000000
-00110010100000000000111110100000
+11101000000101011110100000000000
+11111010000000000011111010000000
+00101000101000000000011111101000
+00000010111010100000000000100010
+10000000000011111010000000000011
+11101000000000001111101000000000
+00111110100000000000111110100000
00000011111110100000010001100000
00000000000000000000000000000000
-01001000000000011110000000000000
-11111000000100000011111000000001
-00001111100000000001001111100000
-00000000111110000100000000111110
-00000000000011111000010000000011
+01001000000000001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
11100000000000001111100000000000
-01111110000000000000111110000000
+00111110000000000000111110000000
00000011110100100000000000110000
00000000000000000000000000000000
-00001000000100001010010000000000
-11111001000000000011111001000000
-00000011100100000000001101100100
-00000000111110011010000000111100
-01000000010011001001000000000011
+00001000000100001110010000000000
+11111001000000000011111001000100
+00000011100100000010001111100100
+00000000111110010000000000111110
+01000000000011111001000000000000
11100100000000001111100100000000
00111110010000000000111110010000
00000011110000100000010000110000
00000000000000000000000000000000
10000000000001000110010000000000
-10111001000000000010111011000000
-00001011100100000000001000100100
-00000000101110110010000000101110
-01000000000010001001010010000010
+10111001000000000010111011100000
+00001011101111000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000110010
11100100000000001011100100000000
00101110010000000000101110010000
00000010111000000000000000010000
00000000000000000000000000000000
00011000000001010010010000000000
10111001000000000010111001010000
-00001011000100000000001000100100
+00001011100100010000001011100100
00000000101110010000000000101110
-01100000000010001001000000100010
+01000000000010111001000000000010
11100100000000001011100100000000
00101110010000000000101110010000
00000010110001100000000001000000
00000000000000000000000000000000
-00001000000001001000010000000000
+00001000000001000000010000000000
10110001000000000010110001000000
-00001011000100100000101000000100
-10000100001100010000000000001100
-01101000000010000001000001000010
+00001011000100000001001011000100
+10000000101100010010000000101100
+01000000000010110001000000000010
11000100000000001011000100000000
00101100010000000000101100010000
00000010110000100000000100000000
00000000000000000000000000000000
10111000000011011110000000000000
11111000000000000011111000000000
-00001111100001010000001100100001
+00001111101000000000001111000001
01000000111110000000000000111110
-00000000000011001000000000000011
-11100000000000001111100001010000
+00000000000011111000010100000011
+11100000000000001111100000000000
00111110000000000000111110000000
00000011111011100000001101010000
00000000000000000000000000000000
10011000000111011110010000000000
-11111101000000000011111001000000
-00001111110100010000001111100100
-01000000111110010000000100111110
-01000100001010110001000000000011
-11100100000000001111100100000010
+11111001000000010011110001000000
+01001111100100000000001111100100
+01010000111110010001000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
00111110010000000000111110010000
00000011111001100000011001110000
00000000000000000000000000000000
00011000000001011110010000000000
11111001000000000011111001000000
-00101100100100100000011100100100
-00100000111110010000000000111111
-01000010000111000101000000000011
-11100100000000001111110100000000
-01111110010000000000111110010000
+00011111100100000000001111100100
+01000000110010010010000000111110
+01000000000011111001000000000011
+00100100000000001111100100000000
+00111110010000000000111110010000
00000011111001100000000001110000
00000000000000000000000000000000
00111000000100001110000000000000
10111000000000000010111000000000
-00001000100001000000011101100001
-00001000101110000000000100101110
-00010000000111011000000000000010
-11100000000000001011100000000010
-01101110000000000000101110000000
+00001011100000000000001011100000
+01000000100010000000000000101110
+00000000000010111000001000001010
+00100000000000001011100000000000
+00101110000000000000101110000000
00000010110011100000010000110000
00000000000000000000000000000000
00001000000001011100010000000000
-10110001000000000010111001000000
-00001001000100111000001000000100
-10100100101100110000000001101100
-01000000000010000001000000000010
-11000100000000001011000100101000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000100000010001000000101100
+01000000000010110001000010000010
+00000100000000001011000100000000
00101100010000000000101100010000
00000010110000100000000101110000
00000000000000000000000000000000
00011000000101011010010000000000
-10111001000001000010111011000000
-00001001100100000001001001100100
-00000000101110010000000000101110
-01000000000010011001000000010010
-11100100000000001011100100000001
+10111001000000000010111001000001
+01001011100100000000001011100100
+00000000100010010000000000101110
+01000000000010111001000000000010
+00100100000000001011100100000000
00101110010000000000101110010000
00000010110001100000010001100000
00000000000000000000000000000000
10100000000101001010010000000000
-11111001110110000011110001000000
-00011101100100100000001000100100
-00000000101110010000000000111110
-01000000000010001001000000000011
-11100100000000001111100100000000
-00101110010000010000111110010000
+11111001000000000011111001000000
+00001011100100000000001111100100
+00000010100010010000000000111110
+01000000000011111001000000000010
+00100100000000001111100100000000
+00111110010000000000111110010000
00000011111010000000010001110000
00000000000000000000000000000000
-00101000000000011010010000000000
-11111001100000000011111001000000
-00001110000110100000001111100100
+00101000000000001010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
00000000111110010000000000111110
01000000000011111001000000000011
11100100000000001111100100000000
@@ -5550,755 +5550,755 @@ Bits: 336768
00000011110010100000000001100000
00000000000000000000000000000000
00101000000100001010000000000000
-11111000010000000011111000000000
-00001111100001000001001101100000
-00000000110110000000000000111100
-00000000010011001000000010010011
-00100000000000001111100000000001
-00110010000000000000111110000000
+11111000000000000011111000000000
+00001110100000000100001111000000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
00000011110010100000010000100000
00000000000000000000000000000000
-00101000000001010010100000000000
-10111010000000000010111010100000
-00001011101000000001101000101000
-00000100100010100000000000101111
-10000100000011011110000001000011
-01101000000000001011101000000000
-00100010100000000000101110100000
+00101000000001000010100000000000
+10111010000000000010111010000000
+00001011101000100000001011101000
+00000000101110100000000000101110
+10000000000010111010000000000010
+11101000000000001011101000000000
+00101110100000000000101110100000
00000010110010100000000001000000
00000000000000000000000000000000
00101000000001010100110000000000
-10110011000000000010110011000000
-00001011001100000000011000001100
-00000001100000110000000000101100
-11010000000010000001000000000010
-00001100000000001011001110000000
-00100000110000000000101100110000
+10110011000000000010110011000010
+00001011001110000000001011001100
+00000000101100110000000000101100
+11000000000010111011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
00000010110010100000000001010000
00000000000000000000000000000000
-00100000000000010001110000000000
-10110111000000000010110111010000
-00001011011100010000001000011110
-01000010100001000000000000101101
-11000010000010010101100010000010
-01011100000000001011011111000000
-00100001110000000000101101110000
-01000010111010000000000001000000
+00100000000100010001110000000000
+10110111000000000010110111000000
+00001011011000000001001011011100
+10000100101101110000000001101101
+11000000000010110111001000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010000000000001000000
00000000000000000000000000000000
00101000000010000001111000000000
-10110111100000000010110111100010
-00001111001110101000001100001110
-10100000110001111001000000111101
-11100000000011000101100001000011
-00011110000000001111111110000000
-10110001111000000000111101111000
+11110111100000000011110111100100
+00001111011110010000001111011111
+10000000111101111000000000111101
+11100000000011110111100010000011
+11011110000000001111011110000000
+00111101111000000000111101111000
00000011111010100000001000000000
00000000000000000000000000000000
-00001000000111011010110000000000
-11111011000000000011111010001000
-00001111101101100000001110101101
-10000000111010110110000100111100
-11000000010011111001000000000011
-11101100000000001111101101000000
+00001000000101011010110000000000
+11111011000000000011111001011000
+00001111101101100000001111101100
+10101000111110110000000000111110
+11000000000011111011011100000011
+11101100000000001111101100000000
00111110110000000000111110110000
00000011110000100000011001100000
00000000000000000000000000000000
01000000000001011111111000000000
-11111111100000000011001101100000
-00001111111110000000001111111110
-00000000111111111000000100111111
-11100000101011001101100100000011
-11111110000000001111110111000000
-00110011111000000000111111111000
+11111111100000000011111111100000
+00001111110110000000001111111110
+00000100110011111001000000010011
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
00000011110100000000000001110000
00000000000000000000000000000000
10101000000100011001110000000000
-10110111000000000011010101000000
-00001011011100000000001011011100
-00000000101101000001000000101101
-10001000000010100100001100000010
-11011100000000001011010100000000
-00100001110000000000101101110001
+10110111000000000010110111010000
+00001011010000000000001011111100
+00000000100001110000000100100001
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
00000010111010100000010001100000
00000000000000000000000000000000
00000000000000001001110000000000
-10111111000000000010000101000000
-00001011011100000000001011011100
-00000000101101010000000000101101
-11000000100010000101000000000010
-11011100000000001011010110000000
-00100001110000000000101101110000
+10110111000000000010110110000000
+00001011011100000000001001011100
+00000000100001110000000001100001
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
00000010110001000000000000100000
00000000000000000000000000000000
01100000000101001100110000000000
-10110011010000000010010000000000
+10110011000000000010110000000001
00001011001100000000001011001100
-00000000101100010000010000001100
-01000000001010100001000000000010
-11001100000000001011000100000001
-00100000110000000000101100110000
-00000010110110000000010000110000
+00000000100000110000000010100000
+11000000000010110011000000000010
+11001100000000001011001100000100
+00101100110000000000101100110000
+00000010110110100000010000110000
00000000000000000000000000000000
10101000000101011010110000000000
-11111011010100000011001010000000
-00000011111100000000001111111100
-00000000111110110000000000101100
-11000000000001000011000000000011
-11101100000000001111100100000000
-10010010110000000000111110110000
+11111011000000000011111000000000
+00001011101100000000001001111100
+00000010110010110000000000100010
+11000000000011111111000000000011
+11101100000000001111101100000000
+01111110110000000000111110110000
00000011111010100000010001100000
00000000000000000000000000000000
10000000000000001110110000000000
-11111011000000000011111001000000
-00001111101100000000001111101100
-00000000111110000000000100111110
+11111011000000000011111010000000
+00001111101000000000001111101100
+00000000111110110000000000111110
11000000000011111011000000000011
-11101100000000001111100100000000
+11101100000000001111101100000000
00111110110000000000111110110000
00000011111001000000000000110000
00000000000000000000000000000000
00000001000100001111110000000000
-11111111000000000011111110100000
-00001111111100000000001111011100
-00000000110011100000000000111111
-11000000000011001111000000000011
-01111100000000001111110100010000
-00110011110000000000111111110000
-00000011111000000000010000110000
+11111111000000000011111100100000
+00001111110010010001001111011100
+00000000110010110000000000111111
+11000000000011111111000000000011
+00111100000000001111111100000000
+00111111110000000000111111110000
+00010011111000000000010000110000
00000000000000000000000000000000
-10000001000001000110110000001000
-10111011000000100010111000110100
-00001011101100000100001011101100
-00001000100010100000000000101110
-11000000010010001011100100000010
-00101100000000001011100100000000
-00100010110000000000101110110000
-00000010111000010100000000010000
+10000001000001000110110000000000
+10111011000000000010111000110000
+00001011100001100000001011101100
+00001100100010110000000000101110
+11000000000010111011000000000010
+00101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000100000000010000
00000000000000000000000000000000
10000000000001010110110000000000
-10111011000000000010111010000000
-00001011101100000001001011101100
-00000000100010110100000000101110
-11000000000010001001000000000010
-01101100000000001011001100000000
-00100010110000000000101110110000
+10111011000000000010111001000100
+00011011100100000001001010101100
+00000000100010110000000000101110
+11000000000010110011000000000010
+00101100000010001011101100000000
+00101110110000000000101110110000
00000010111000000000000001000000
00000000000000000000000000000000
-00001000000000000000110000000000
-10110011000000000010110001000000
-01001011001100000000001011001100
-00000010100000000000000000101100
-10000000000010000000000000000010
+00001000000001000000110000000000
+10110011000000000010110011000000
+00011011000000000000001011001100
+00000010100000110000000000101100
+11000000000010110011000000000010
00001100000000001011001100000000
-10100000110000000001101100110000
+00001100110000000000101100110000
00000010110000100000000100000000
00000000000000000000000000000000
-00000000000010000110110000000000
-11111011000000000011111010000000
-00011111111100000000001111111100
-00000000110010000000000100111110
-11000000001011001001000000000011
-01101100000000001111011100000000
-00110010110000000000111110110000
+00000000000010010110110000000000
+11111011000000000011111000000000
+00001111100000000000001110111100
+00000000110010110000010001111110
+11000000000011111111000000000011
+00101100000000001111101100000000
+00111110110000000000111110110000
00000011111000000000001101010000
00000000000000000000000000000000
-10100000000111011111110000000000
-11110111000000000011111100000000
-00001111111100000000000111111100
-00000000111111000000000001111101
-01000000000011111101000000000011
+10100000000011011111110000000000
+11111111000000000011111100000000
+00001111110000000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000001011
11111100000000001111111100000000
-00111111110000000000111111110000
+00111111110000000100111111110000
00000011111010000000011001110000
00000000000000000000000000000000
-11000000000001011111011000000000
-11110111100000001011001111001100
-10011100111010000000001111111100
-01000000110011110000000000111111
-00001100000011001111000000000011
-00011110000000001100110000000000
-00110011000000000000111111010000
-00100011001100000000000001110000
-00000000000000000000000000000000
-11000000000110001110011000000000
-10001011001000000010001111001101
-00001000101010000000001011011100
-10000000100011111000000000101110
-00011100000010001001010010001010
-00101110000000001000100110000000
-00100010011000000000101110011000
-00010010001100000000010000110000
-00000000000000000000000000000000
-11001000000001011110010000000000
-10101011000010000010000011000000
-00001000001000000001001011001100
-01000001100000110001000000101100
-00000000000010000001011000001010
-00001100000000001000001100000000
-00100000010000000100101100001000
+11000000010011011011101000000100
+11111100000100000011011110100000
+00001111111101100000001100110000
+10000000110011011000000010110011
+01100000000011111111000000000011
+00110100000000001100110010000000
+00110011101000000000110011110010
+10000011001100000000000001110000
+00000000000000000000000000000000
+11000000000010001110111000000100
+10111001001000000010111011100000
+00001110111101010000000000100010
+01000100100010011000010100100010
+01100000000010111001000000000010
+00010100000001001000100100000001
+00101010110000000100100010011100
+00000010001100000000010000110000
+00000000000000000000000000000000
+11001000000001001100100000000000
+10110011001000100010110010100000
+00001011001100100000000000000000
+00000000100010010000000000100000
+11000000000010110010000000100010
+00000100000000101000100000000000
+00100000111000000000100000010000
00000010001100100000000101110000
00000000000000000000000000000000
-11000000000001011010010000000000
-10001011000001000010001011000000
-00001000101001000000001011101100
-00000000100010110000001001101110
-10000000100010001000000010000010
-00101100000000001000101110000010
-10100010011000000000101110001000
+11000000000000011010110000000100
+10111011100010100010111011000001
+00001010001100000000011000100000
+01000100100010010010000000100010
+11000000000010111001000010000010
+00100100010000001000100100100000
+01101000111000010000100010010000
00000010001100000000010001100000
00000000000000000000000000000000
-11000000000101011100011000000000
-11111011000110000011001011000000
-00001100101100000001001111101100
-00000010110010110000000000111110
-10001000000011001011010000001011
-00001100000000001100100110000000
-10110010011100100000111110011000
-00001011000101000000010001110000
-00000000000000000000000000000000
-11100000000000011011110010000000
-11111111100000000011111111000000
-00001110111100001000001111111100
-00000000111111110000000000111110
-00000010001011111110001000000011
-11111100000000001111010100000000
-00111110010000001000111100110000
-00010011111110000000000001100000
-00000000000000000000000000000000
-01000000000100001010010000000000
-11101011000000000011010011000001
-01001100101101000101001111101100
-00000000110010110000000000111100
-11000100010011001011010000001011
-00101100100000001100101100000000
-00110010110000000010110010010000
-00001011000100000000010000100000
+11000000000101011110100100000000
+11111011110000000011011010000000
+00001111101100000000001100001111
+00000000010000010000000000110010
+01000000010011111011110000100011
+00100100000000001100000100100000
+00110010110000000100110010110001
+00010011000100000000010001110000
00000000000000000000000000000000
-11000000000001010010110000000000
-10110011000000000010001111000000
-10001000101111000000001011111100
-00000000100011110000000000101110
-11000000000010001010010100000010
-00101110001100001000101101010100
-00100010111000100000100010110101
-00000010001101100000000001000000
+11100000000000011011010000000000
+11111110000000000011111110000000
+00000111111100000010001111101100
+00000000111111011000100100111111
+01110000000011111101101010001011
+11110110000000001111111110000000
+00111111110000000000111100011000
+00000011111110000000000001100000
00000000000000000000000000000000
-11000000000001000100110000000000
-10110010000001000010010011000000
-00001000001011000000001011101100
-00000010100100110000000000101100
-01100000000010001011000101000010
-00001101000100001000000100000010
-10100000101000000000100000000100
-00000010001110000000000001010000
+01000000000100001010100100001000
+11111001000000001011001010000000
+00001111101100000000001100101100
+11000000110010110000001000111110
+11101000000011110010010001000011
+00101110000000001100100101000000
+00110010110010000000110010010000
+00000011000101000000010000100000
+00000000000000000000000000000000
+11000000000001010010010000000100
+10111001000000000010001010010100
+01001011111100000000001000101101
+00000000110110110100000000101110
+11010000010010111001000000000010
+00101100000000001000100101000000
+00100010110000000000100010010000
+00000010001100100000000001000000
00000000000000000000000000000000
-10111000000100000101111000000000
-10111111101000010010000011100100
-00001000011110001000001011011110
-00000000100101111000000000101101
-01100000000000000011100000000010
-00011110010000001000110110010000
-00100001111101000000100001011000
+11000000000001000100100010000000
+10110011000000000010000010000000
+00011011001100000000101001000011
+00000000101100110000000000101100
+01010000000010110010000000000010
+00100100000000001000000100000000
+00100100110100000000100000010000
+00001010001110100000000001010000
+00000000000000000000000000000000
+10111000100000000101101000000000
+10110111100000110110000111100000
+01001011011110000000001001010010
+00010100101101111000100000101101
+11100000000010110010101100000010
+00010110000000101000110111010000
+00100101111001000000100001111000
00000010001011000000000001000000
00000000000000000000000000000000
-01001000000010000100110000001000
-11110001000000000011010011000000
-00011100001000000000001111001100
-00000000110100110001010000111100
-00000100000011000010000000000011
-00001100000000001100000100000000
-00110000110001000000110000100000
+01001000000000000100110100000000
+11111010000100000011000010000000
+00001111101100000000001101001101
+00000000111100010001000000111100
+01000000000011110010001100001011
+00000100000000001100000100001000
+10110110110000000000110000110000
00000011000100100000001000000000
00000000000000000000000000000000
-11000000100101011011110000000100
-11111111001000010111111111010001
-00001111111100000000001111111100
-00000000111011110000000000111111
-10000100100011111110000100000011
-11111100000100001111010100000000
-10111111110001010000111111100001
+11000000000101011011110001000000
+11111111000000000011111111000000
+00001111111100011010001110111100
+01000000110111010001010000111111
+11010100000011111110001100000011
+11110101000000101111010100000100
+00111011110000000000111111110000
00000011110100000000011001100000
00000000000000000000000000000000
-00001000000001011110010100100000
-11111011100000100011001011000100
-00001100101000010000001111101111
-10000000110010110100000000111110
-11000000000011101010001000000011
-00001100000000001100000100000000
-00110010000000000100110010010000
-00000011001010100000000001110000
-00000000000000000000000000000000
-11001000100110011001110100001000
-10111111000000000010000111000000
-10001100011100110001001011011100
-10100010100001110110100000101101
-01000000000010110010000101000011
-11011100000000001010010100000001
-00100001010000000000100001110000
-00000010001100100000010001100000
+00001000000001011110100000110000
+11111011000000000011011011000011
+00001111101100101000001110100000
+00000000111110110100000100111110
+01000100010011101011001000000011
+00101100000000001100101100000000
+00110010110000010000110010010000
+00000011111010100000000001110000
00000000000000000000000000000000
-00100000000000001001011000001100
-10110111100000000000000111101000
-01001000011010100100001011011110
-01000000100101111000000000101101
-11100000000010100110110000010010
-00011110000001001000111110000000
-01100000111000000000101000011000
-00000010001000000001000000100000
-00000000000000000000000000000000
-01101000000001001100111000000000
-10110011010001100010000011000000
-00001000001101100000001011001100
-00000001100100110000000000101110
-11011100000010110010000000000010
-11001100000000001010001100110000
-00100000111100000000101000111110
-00000010000100100000010000110000
+11001000100010011001000000000000
+10110111000000000110110111001000
+11001110011100100000001000010000
+00001000111101110100100000101101
+11001000000010110011000100001010
+00001100000000001000011100000000
+10100001110000000000100001110000
+00000010111100100000010001100000
00000000000000000000000000000000
-11100000000101011110100010001000
-11111110010000001011001010000000
-00001100101001000000001111101000
-00000000110110100000000000111111
-10110000000011101010110000000011
-00101000000010001100011011000000
-00110011101011010010111011101100
-00001011001110100000010001100000
+00100000000000001001111001000000
+10110111100000000010110111100100
+00001010001110000000001010011110
+00000000101101111000000000101101
+01100000000010100110100000001010
+01011110000000101000010110000000
+10101001111000000010100001111000
+00000010111000000000000000100000
00000000000000000000000000000000
-01001000000000011010000000001000
-11111000001100000011111000000000
-01101110100000000000001111100000
-00010000111010000000000000111110
-00000000100011111000000010000011
-11100001000000001111100000000000
-10111110000000000000110110000101
-00000011110100100000000000110000
+01101000000001001100011000000000
+10110010110001000010110011000010
+00001010101100000000001000001100
+00000000101100111000000000101100
+11000000010010110011000000000010
+01001100000010001000000101001000
+00101000010000000000100000110000
+00000010110100100000010000110000
00000000000000000000000000000000
-00001000000100001010010100000000
-11111001000000000011110001000000
-00101100100100100000011111100100
-00000000111110010000000000111110
-01000001000011001011000000001011
-00100100000000001100100100000000
-00110010010000000000110000010000
-00000011000000100000010000110000
+11100000000101011110100010000000
+11111110111000000011011010010000
+00001110101000000000101110111001
+10000000111110101010000000111110
+10000000000011101010010000000011
+01101000000000001100011001000000
+00111011100000000000110010100000
+00000011111110100000010001100000
00000000000000000000000000000000
-10000000000000000110111100000000
-10111001000000000110111001000000
-00001000101110000000001111100100
-00000000101110010000000000101110
-01000000000010001001010000001011
-11000101000001001000100101000100
-00110000010000000000100010010100
-00000010001000000000000000010000
+01001000000000011010000000000000
+11111000000010000011111000000000
+00001110100000000000001111000000
+00100000111010000000010000111110
+00000000010010111000001000000011
+10100000010001001111100000000010
+00110110001001000000111110000000
+00010011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001010011100010000
+11111001000000000011111001000100
+00001111100100000000001100100100
+00010000100010010000000100111110
+01000001000001111011000000001011
+00000100000000001100100110000000
+00110010010001000000110010010000
+00000011110000100000010000110000
00000000000000000000000000000000
-00111010000001010010010000001000
-10111001000000000010111001000000
-00001010100100000000001011100100
-00000000101110010000001000101110
-01000000000010000001010001000010
-00100110001000001000101100001000
-10100010010000000000100010010100
-00000010000001100000000001000000
+10000000010000000110110000000100
+10111001000000000010111011100000
+00001011100100000000001101100100
+00001000110110110000000000101110
+11000000000010111001010001000010
+00100110000000001000100100010010
+10110000011000000000110010010000
+00000010111000000000000000010000
00000000000000000000000000000000
-00101000000101000000110000000000
-10110001000000100010110001001010
-00001000000100000001001010000100
-10100001101100010010100000101100
-01001000000110000001001000100010
-11000100000100001000100100100000
-00100000011010000000100000010010
-00000010000000100000000100000000
+00111000000000010010010000000000
+10111001000000000110111001000000
+00001011100100000000101000100100
+00000000101010010000000000101110
+01000000100010110001010000000010
+00100100100000001000100100000000
+00100010010000000000100010010000
+00000010110001100000000001000000
00000000000000000000000000000000
-10111000000011010110000000000000
-11111000000000000010110000001000
-00001110100000000000001011000000
-10000000111110000010000000111110
-00010100001011001000010100100011
-00100000000000001100100000000010
-00110010000000000000110010000000
-00001011001011100000001101010000
+00101000000000000000010000000001
+10110001001000000010110001000000
+00001011000100101000001000000100
+10000010101000011000010000101100
+01000000000110110001001000000010
+00000110100000101000100110000000
+00100010110000000010100000010010
+00000010110000100000000100000000
00000000000000000000000000000000
-11011000100101011110010000001000
-11111111001010000011111001001010
-00001111100100000000001111100100
-10100000101110010000000100111111
-01000101100011111001000100000011
-11100100000000101111110100010001
-00111011010001000010111111010001
+10111000100001000110000000000000
+11111000000000000011111000000000
+00001111100000100001001000100000
+00001000101010000000000000111110
+00000000000011111000010100100011
+00100001010000001100000001010000
+00110000000101000000110010000000
+00100011111011100000001101010000
+00000000000000000000000000000000
+11011000110111011110010000000000
+11111101001110000011111001000000
+10001111100100101100001111111100
+01001000110110010000000000111110
+01000000000011111001000100000011
+11110100010000001111110100000000
+00111011010000000000111011010001
00000011111001100000011001110000
00000000000000000000000000000000
-00011000000001011110010000000000
-11111001000000100011001001000100
-00100100100100000100001111100100
-00110010110010010000000000110011
-01000010000011111101000000000011
-00010100000000101100110100100001
-00110011010000010000111111010010
+00011000000100011110010000000000
+11111001000010000011101001000000
+00001111100101001000001100100100
+00000000110010010000000000111110
+01000001000011001001011000001011
+00110100101000001100111100000000
+00110011010100000000110010010000
00000011110001100000000001110000
00000000000000000000000000000000
-01111000000110001010000000000000
-10111000000000000011001000010100
-00011000100000000000001011000001
-10000000100010000100001000110110
-00010000000010110000000001001010
-10100000000001001000100001000000
-00100010000100000000101110000100
-00010010110011100000010000110000
+01111000000100000010000000000000
+10111000010000000010001000000000
+10011011100000000100001000100000
+00000000100010000000000000101110
+00000000000010001000000000001010
+00100001100000001000100000100000
+00100010100000000000100010000000
+00000010110011100000010000110000
00000000000000000000000000000000
-01001000000001001000010000000000
-10110001001010000000000001001000
-00001010000100000000001011000100
-00100000100000010100000000100000
-01001010000110110001011010000010
-00001100000000001000000101000000
-00100000010100000100101100010001
+01001000000001001000011000000000
+10110001001011000010100001000000
+00011011000100000000001000000101
+00000001100000010000000000101110
+01000000000010100001010000000010
+00000100001000001000000100001010
+10100100010000000000100000010100
00000010110100100000000101110000
00000000000000000000000000000000
-00011000000001001010110000001100
-10110001000000000010011001000000
-00001010100100000000001011100100
-00000000100010010000000000100110
-01000000000010111001001000000010
-10100100000000001000100101010000
-10100010111000000000101110010100
+00011000000001001010110000001000
+10111001010000000100001001000000
+00001011000100000000001000100100
+10000000100010010000000000101110
+01100000000010101001010000000010
+00000100100000101000100100010100
+00100000010000000000100010010000
00000010110001100000010001100000
00000000000000000000000000000000
-10100000000101011110010000000000
-11111001100000001011001001000000
-00001010100100000000001111100100
-00000000110010010000000000110010
-01100010000011111001001000001111
-00000100000001001100100100000010
-00110010011000100000111110010000
+10100000010001011110010000000000
+11111001000000000011101001000000
+10001011100100000000001100100100
+00000010110010011001000000111100
+01000000000011101001010000000011
+00100100000000001100000100000000
+00110010010100000000110010010001
00000011111010000000010001110000
00000000000000000000000000000000
-01001000000000011010010000000000
-11111001110000000011100001000001
-00101101100100001100001111100100
-00000010111100010000000000111110
-01001000000011111001000000000011
-11100100000010001111000110000000
-10111110010000010000111110010010
+01001000000000011010010000000100
+11110001000100000011111001000000
+00001111100100000000101111001100
+00001000111110011000000000111110
+01000000001011011011000000000011
+11100100000000001111100100000000
+00111110011010000010111110010000
00000011110110100000000001100000
00000000000000000000000000000000
-01001000000100001010000000000000
-11111000010000000011011000000000
-00001111100000000000001111100000
-00010000110010000000001100111110
-00000000010011111000010110000011
-00100000000000001100100000000000
-00111110000100000000111110000000
+01001000000000001010000000010000
+11111000010000000011111000000100
+00001111100000000000101101100001
+00000010110010000000000000111110
+00000010000011110000000100000011
+11100000000000001100100000001000
+00110110000100000010110010000000
00000011110010100000010000100000
00000000000000000000000000000000
-00101000000001010010101110001000
-10111010000000000010001010000000
-00000011101010000000001011101000
-00000000100010100000000001101111
-10000010000010111110100000000010
-10111000000000001000111000000000
-00101111100110000000101111100000
+00101000010001010010100000000000
+10111010000000000010111010000000
+00001011101000000010001000101010
+00010000100010101010000000111110
+10010010000000111010000000000010
+11111001000000101000111001000000
+00100011101000100000100010100000
00000011100010100000000001000000
00000000000000000000000000000000
-01101000000001010100111010001000
-10110011000000000010010011000001
-00000011001100000000001011101100
-00000111100100110000000000101100
-11100000000010111001110000000010
-00000100000000101000000110010000
-00101100101010000000101100111010
+01101000000101010100110000001000
+10110011000000000010110011100000
+00000011001100000000001001001100
+00010000101100110010000000101100
+11110000000010110010101001000010
+11001101100000001000001101000000
+10100000110000000000100000110000
00000010110010100000000001010000
00000000000000000000000000000000
-10000000000100010001110000000000
-10111111000000000010010111001000
-00001011011100001000001011011100
-01000000100101110000000000101101
-01000000000010110100000000000010
-10010100000001001000010100000000
-00101101110000000000101101110100
+10000000000100010001100000010000
+10110111000000000010110111000000
+00011011011100000000001000001000
+00100000101101110000001000101001
+00000000000010110110000001000000
+11011100000001101000011100001010
+00100001110000000000100001110000
00000010101010000000000001000000
00000000000000000000000000000000
-10001000100010000001111000000100
-11110111101100000111010111110100
-00001111011110000000001111011110
-10000000110101111000000000101101
-11100000000011110100100000001011
-00011110000000001100010110000000
-00111101111000000100111101101000
+10001000100010000001011000000000
+11110111101000100011110111100100
+00011111001111110010001101011110
+00100000111101101000001000101101
+11100010000011110110100000000001
+11111110000000001100111110000000
+10110011111000000010110001111000
00000011111010100000001000000000
00000000000000000000000000000000
-00001000000101011010110110110000
-11110011000000000011101011010000
-00001111100101101000001111101100
-00100000100010110000000000111110
-01000000000011111001000000000011
-11100100000100001111100000000000
-00111110110000000000111110100000
+00001000000101011010000110010000
+11111011011010000111111011001000
+00001111101100000000001111101000
+10000000110010110110100000111110
+00000000000011111010010001000011
+11100100000000101111101101101000
+00111010110000000000111110110000
00000011110000100000011001100000
00000000000000000000000000000000
-00000000000101001011011000000000
-11111111100010000011101111100000
-00011111110010010000001100111110
-00000000110011111000000000111111
-11100000000011111101100001000011
-00110110000000001100111110000000
-00110011101000000000110001101000
-00000011000000000000000001110000
-00000000000000000000000000000000
-10101001000100001001010001100000
-10110111000000000010000111000000
-00001011011100000001001101011100
-01000000100001110000000000101101
-11000010000010111110000000000010
-00010100010011001000011100010000
-00100001110000000000100001100001
-00000010001010100000010001100000
+00000000010101001011111100000000
+11001111100110000011001111100000
+00001111111110000000001111110110
+00000000110011011000000000111111
+11100000010011111110110001000011
+00111110000000001100111110000000
+00110001111000000000110011111000
+00000011110000000000000001110000
00000000000000000000000000000000
-00000000000000001001100000000000
-10111111010000000010100111000100
-00001011110000000010001000001100
-00000000100001110000000000101101
-11000100000010110100000000000010
-00011100000000101000011101000000
-10100001110100000000100001100000
-00000010000000000000000000100000
+10101001000100001001100000000000
+11010111000000010010000111000000
+00001011011100010000001011011000
+00000010100001100000000000101101
+11000001000010110110000000010010
+00011101000000001000111100000000
+00100001110001000100100001110001
+10000010111010100000010001100000
+00000000000000000000000000000000
+00000000000000001011010001000000
+10000111000000000110000101000000
+00001011011100000000001011010100
+00000000100001000000000000101101
+11000100000010110110000100000010
+00011000000000001000010100001000
+00100011010000000000100001110000
+00000010110000000000000000100000
00000000000000000000000000000000
-00100000000101001000100000001000
-10110011000000000010001011000000
-10001011000100000000001001001100
-00000000100000110000000000101100
-11000000100010111011001000001010
-00000100000000001000001000000001
-00100000110000000000100000100100
-00000010000010000000010000110000
+00100000000001001000000000000000
+10010011000001101010000001010000
+10001011101100000000001011001000
+00010100100000100000000000101100
+11100000000010110010100000000010
+00100000000000001000000111001000
+00100000010000000000100000110000
+00000010110010000000010000110000
00000000000000000000000000000000
-10101000000100011010100000000000
-11111111000000000011101111000000
-00001011101101000000001100111100
-00000010110010110000000000111110
-11100000000011111011110000001011
-00000100000000001100001101000000
-00110010100100000000110010111100
-00000011001010100000010001100000
+10101000000001011010110000000000
+11001111010000000011001000000000
+00001011111100000000001111101100
+00000000110010110000000000111110
+11000000000011111011010000001011
+00101100000000101100100100000000
+00110000110100000010110010111000
+00000011111010100000010001100000
00000000000000000000000000000000
-10000000000000001110100100000000
-11111011100000000011111011000000
-10001011101100000000001111101100
-00000100111100110000001000111110
-00010000000011111010010100000011
-11100100000001001111101100000010
-00111110110000000010111110111000
+10000000000000001110110001100000
+11111011000010000011111001010000
+01001111101100000000001111100000
+00000000111110010000000000111110
+00000100000011110111000100010011
+11100100000010001111101101000000
+00111110101110000000111110110000
00000011111000000000000000110000
00000000000000000000000000000000
-00100001000100000111010010000000
-11111111000000000011001111000000
-10000000111100001100001111011100
-00000000100011110000000000110011
-11000100010011001110000100000011
-00111100000000001100101100000000
-00110011110000000000111111110000
-10000011110000000100010000110000
+00100001000000000111110000000000
+11111111000000000011111110100010
+00001000111100000000001100101110
+10000000111111100001000000111111
+11000000000011111111000110000011
+00101000010000001100110100000000
+10110011101000000000110011110000
+00000011110000000100010000110000
00000000000000000000000000000000
-10100001000001000110010100000000
-10110011000000001010001011000001
-01001100100100000000001011101100
-00000000100010110000000000100010
-00100000001010001011001000000010
-10100000000100001101101000000000
-00100010111000000000101110100101
-10000010111000000000000000010000
+10100001000001000110111100000000
+10111011000000000010110011011001
+00001010101100000000001000100000
+00010000101110011000000000101110
+00100000000010111010000011000010
+00100011000000001000001111000000
+10110010101100100000100010110000
+00000010111000000000000000010000
00000000000000000000000000000000
-10000000000001010010000000000000
-10111011000000000010001011000000
-00001010100000000001001011101100
-00000000101010110000000001100000
-01010000010010001011000000000010
-00100110000000001000101100100000
-00100010011000000000101110000000
+10000000000101010010110010001000
+10111011000000000010111000001000
+00001010101100000000101000100100
+00000000101110010000000000101110
+00000100000110111000000000000010
+00101100000000001000100111000001
+00100010010010000000100010110000
00000010111000000000000001000000
00000000000000000000000000000000
-00001010000101000000000000000000
-10111011000000010010000011000000
-00001011001100000000001011001100
-00000010101000110000000000100000
-00000000110010000011000000001000
-10000110000000001001001100000000
-00100000110000000000101100000000
+00001000010101000000110000000000
+10110011000000000010111001000000
+00001010001100000000001000000000
+00000100101100000000000000101100
+00100000000110110000000000011010
+00000100000000001000001100000000
+00100010000000000010100000110000
00000010110000100000000100000000
00000000000000000000000000000000
-00000000000011010110000000000000
-11111111000000000011001111000000
-00001010100000000010001111111100
-00000000111010110000000000110010
-01000000000011001011000000000011
-00100100000000001100101100000000
-00110010010000000000111110000000
+00000000000011010110110000000100
+11110111000000010011111000000000
+00011110111100000000001000100100
+00000000111110000000000000111110
+00000000000011110000000001000011
+00101000000000001100110100000000
+00110010000000000010110010110000
00000011110000000000001101010000
00000000000000000000000000000000
-10100010000101011111000000000000
-11110111000000000011111111000000
-00011100010100000000001111111100
-00000000110111110000011000111111
-00000000000011111111000000000011
-11010000000000001111111000000000
-00111101110000000000111111000000
+10100010000101011101110000000100
+11111111000000000011111101000000
+00011111111100000000001111110000
+00000000111111000000000000111111
+00000000000011111100000000000011
+11110000000100001111110000000010
+00111011000000000000111111110000
00000011111010000000011001110000
00000000000000000000000000000000
-11000000000000011111111000000000
-11110100100000000011111111100000
-00001111111100100000001111111100
-11000000110011110000000000110011
-11100000000011001111100000000011
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001101111100100000001111111100
+11001000101111110000000000111111
+11000000000011111111100000000011
11111110000000001111111110000000
00111111111000000000111111111000
-00100011111100000000000001110000
+00000011111100000000000001110000
00000000000000000000000000000000
10000000000100001110111000000000
-00111000100000000010111011100001
-00001011111101001001001011111101
-00000100100011111101000000100010
-11100000000010101011000000000010
+10111011100000000010111011100000
+00001000111100111000001011111101
+10000000101111111000010000101111
+11100000000010111011100000000010
11001100000000001011101110000000
00101110111000000000101110111000
00000010111000000000010000110000
00000000000000000000000000000000
10001000000001011100110000000000
-10110000000000000010110011000000
-00001011001100110000001011001100
-01000000100000110000000100100010
-11000001000010000011000000000010
+10110011000000000010111011000000
+00001001001100000000001011001100
+01000000101100110000000000101100
+11000000000010110011000000000010
11001100000000001011001100000000
00101100110000000000101100110000
00000010111000100000000101110000
00000000000000000000000000000000
11000000000101011010110000000000
-10111010010000000010111011000000
-01001011101100000000001011101100
-00010000100010110000000000100010
-11000000000010101011000000000010
+10111011000000000010111011000000
+00001000101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
11101100000000001011101100000000
00101110110000000000101110110000
00000010111100000000010001100000
00000000000000000000000000000000
01000000000101011110110000000000
-11111001000000000011111011000000
-00001111101100000001001111101100
-00000010110010110000000000110000
-11000000000011001011000000000011
+11111011000000000011110011000000
+00001101101100000000001111101100
+00010000101110110000010000111110
+11000000000011111011000000000011
11101100000000001111101100000000
00111110110000000000111110110000
00000011110100000000010001110000
00000000000000000000000000000000
11100000000000011011110000000000
-11111101000100000011111111000000
-00001111111100000000001111101100
-00000000111110110000000000111111
+11111111000000000011111111000000
+10001111111100000000001111101100
+00000100111111110000000000111110
11000000000011111111000000000011
11111100000000001111111100000000
-00111111110000010000111111110000
+00111111110000000000111111110000
00000011111110000000000001100000
00000000000000000000000000000000
01000000000100001010110000000000
-11111000000000000011001011000000
-00001111101100000001001111101100
-00000010110000110000000000110010
-11001000000011111011000000000011
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000110010110000000000111110
+11000000000011001011000000000011
11101100000000001100101100000000
00111110110000000000111110110000
00000011110100000000010000100000
00000000000000000000000000000000
11001000000001010010110000000000
-10111010000000001010001011111100
+10111011000000000010111011101000
00001011111100000000001011111100
-00000000100011110000000000110110
-11010000000010111011000000000010
+00000000100011110000000000101111
+11000000000010001011000000000010
11101100000000101000101100000000
00101110110000000000101110110000
00000010111100100000000001000000
00000000000000000000000000000000
11100000000001010100110000000000
-10110010000000000010000011100000
-00001011001100000000001011101100
-00000000100000110000001000100100
-11000000000010110011000000000010
+10110011000000000010110011111000
+00001011001100000000001011001100
+00000000100000110000000000101100
+11000000000010000011000000000010
11001100000000001000001100000000
00101100110000000000101100110000
00000010111110000000000001010000
00000000000000000000000000000000
00100000000000010001111000000000
-10111110100000000010000111100000
-00011011011110000000001011011110
-00010000100001111000000000100101
-11100000000010110111100000000010
+10110111100001000010110111100000
+00001011011110000000001011011110
+00000100100001111000000000101101
+11100000000010000111100000000010
11011110000000001000011110000000
00101101111000000000101101111000
00000010110010000000000001000000
00000000000000000000000000000000
01001000000010000000110000000000
-11110001000100000011000011000000
-00001111001100000000001111001100
-00000000110000110000000000110100
-11000000000011110011000000000011
+11110011000000000011110011000000
+10001111001100000001001111001100
+01000010110000110000000000111100
+11000000000011000011000000000011
11001100010000001100001100000000
-00111100110000000000111100110000
-00000011110100100000001000000000
+00111100110000000100111100110000
+00100011110100100000001000000000
00000000000000000000000000000000
01000000000111011011110000000000
11111111000000000011111111000000
-00001111111101000011001111111100
-00001000111111110000100000111111
-11000000000011111111000000000011
-11111100000000001111111100000000
+00001111111100000000001111111101
+00010000111111110100000000111111
+11000000001011111111000000000011
+11111100010000001111111100000000
00111111110000000000111111110000
00000011110100000000011001100000
00000000000000000000000000000000
10101000000001011110110000000000
-11111011000000000011111011000000
-00001111101100010000001011101101
-10000000111110110010010100111110
-11000100010011111011000000000011
+11111011000000000010111011000000
+01001111101100111000001100101101
+01010100111110110100000100111110
+11010010000011111011000000100011
11101100000000001111101100000000
00111110110000000000111110110000
00000011111010100000000001110000
00000000000000000000000000000000
01001000000100011001110000000000
-10110111000000000010110111011000
-00001011011100100000001011011101
-00110000101101110000100000101101
-11000000000010110111000000000010
+10110111000000000010110111000000
+00001011111100100000001000011100
+10000000101101110100000000101101
+11010000000010110111000000000010
11011100000000001011011100000000
00101101110000000000101101110000
00000010110100100000010001100000
00000000000000000000000000000000
11000000000000001001111000000000
-10110101100000000010110111100000
-00001011011110000010011011011110
-00000000101101111010000001101101
-11100000000010110111100000000010
+10110111100000000010110111100100
+10001011011110100000101000011110
+00000000101101111000000000101101
+11101000000010110111100000000010
11011110000000001011011110000000
00101101111000000000101101111000
00000010111100000000000000100000
00000000000000000000000000000000
01001000000101001100110000000000
-10110011010000000010110011000000
-00001011101100000000001011001100
+10110011000000000110110011000000
+01001011001100000000001000001100
00000000101100110000000000101100
-11000000010010110011000000000010
+11000000000010110011000000000010
11001100000000001011001100000000
-00101100110000000000101100110000
+01101100110000000000101100110000
00000010110100100000010000110000
00000000000000000000000000000000
11101000000101011010100000000000
-11110110010000000011111010000000
-10001111101000000000001111101000
+11111010000000000011111010000000
+00001111101000000000001100101000
00000000111110100000000000111110
-10000000000001111010000000000011
+10000000000010111010000000000011
11101000000000001111101000000000
00111110100000000000111110100000
00000011111110100000010001100000
00000000000000000000000000000000
01001000000000001110000000000000
-11111000000010010011111000010000
-00001111100000000000001111000000
+11111000000000000011111000010000
+00001111000000000000001111000000
00000000111110000000000000111110
00000000000011111000000000000011
11100000000000001111100000000000
@@ -6306,89 +6306,89 @@ Bits: 336768
00000011110100100000000000110000
00000000000000000000000000000000
00001000000100001110010000000000
-11111001000000000011001001100000
+11111001000000000011111001000100
00001111100100000000001100100100
-00000000110010010000000000111110
+00000000110000010000000000110010
01000000000011111001000000000011
-00100100000000001111100100000000
+11100100000010001111100100000000
00111110010000000000111110010000
00000011110000100000010000110000
00000000000000000000000000000000
10000000000001000110010000000000
-10111001000000000010001011000000
+10111001000000000010111011100000
00001011100100000001001000100100
-00000000100010010000000000101110
-11100000000010111001000000000010
-00100100000000001011100100000000
+00000000100010010000000010100010
+01000000000010111001000000000010
+11100100000000001011100100000000
00101110010000000000101110010000
00000010111000000000000000010000
00000000000000000000000000000000
00011000000001010010010000000000
-10111001000000001010001001000100
+10111001000000000010111001000000
00001011100100000000001000100100
-00000000100010010000010000101110
-01001000000010110001000000000010
-00100100000100001011100100000000
+00000000100010010000000000100010
+01000000000010111001000000000010
+11100100000000001011100100000000
00101110010000000000101110010000
00000010110001100000000001000000
00000000000000000000000000000000
00001000000001000000010000000000
-10111001000000000010000001000000
+10110001000000000010110001000000
00001011000100100000101000000100
-10000000100000010010000100101100
-01000000000010110001000000010010
-00000100000000001011000100000000
+10000000100000010010010000100000
+01001000000010110001000000000010
+11000100000000001011000100000000
00101100010000000000101100010000
00000010110000100000000100000000
00000000000000000000000000000000
10111000000011010110000000000000
-11111000000000000011001010000000
-10001111100001010000001100100001
-01000000110010000000000000111110
-00000000000011111000010100000011
-00100001010000001111100000000000
+11111000000000000011111010000000
+00001111100001010000001100100001
+01000010110010000000000000110010
+00000000000011111000000000000011
+11100001010000001111100000000000
00111110000000000000111110000000
00000011111011100000001101010000
00000000000000000000000000000000
-10011000000111011110010000010000
-11111101000000000011111001000001
+10011000000111011110010000000000
+11111001000000000011111001000000
00001111100100010000001111100100
-01000010111110010001000000111110
-01000000000011111001000000001011
+01000000111110010001000000111110
+01000100000011111001000000010011
11100100000000001111100100000000
00111110010000000000111110010000
00000011111001100000011001110000
00000000000000000000000000000000
00011000000001011110010000000000
-11111001000000000011110001000000
-00001111100100100000001110100100
-00000000110010010010000000111110
-01000000100011111001010000000011
-11100100010000001011100100000000
+11111001000000000011111001000000
+00001111100101001000101100100101
+10000000110010010010000000111110
+01001000000011111001000000000011
+11100100000000001111100100000000
00111110010000000000111110010000
00000011110001100000000001110000
00000000000000000000000000000000
00111000000100001110000000000000
-10111010000000000010111000000000
-00001011100001101000001000100000
-10100000100010000000000000101110
-00000000000010111000001000000010
-11100000000000001011100000000000
+10111000000000000010111000000001
+00001011000001100000001000100000
+10001000100010000000000000101110
+00000000000010111000000000000010
+11100000100000001011100000000000
00101110000000000000101110000000
00000010110011100000010000110000
00000000000000000000000000000000
00001000000001011100010000000000
10110001000000000010110001000000
-00001011000100010000001000000101
-00001001100000010100000000101100
-11000000000010110001001000000010
-11000100100000001011000100000000
+00001011000100100000001000000101
+10000000100000010100000000101100
+01010000000010110001000000000010
+11000100001000001011000100000000
00101100010000000000101100010000
00000010110000100000000101110000
00000000000000000000000000000000
00011000000101011010010000000000
-10111001000100000010111001000000
-00001011100100000000011000000100
+10111001000000000010111001000001
+00001011100100000000001000100100
00000001100010010000000000101110
01000000000010111001000000000010
11100100000000001011100100000000
@@ -6396,9 +6396,9 @@ Bits: 336768
00000010110001100000010001100000
00000000000000000000000000000000
10100000000101011110010000000000
-11111001110000000011111001000000
-00001111100100000000101100100100
-00000000110010010000000000111110
+11111001000000000011111001000000
+00001111100100000001001100100100
+00000010100010010000000000111110
01000000000011111001000000000011
11100100000000001111100100000000
00111110010000000000111110010000
@@ -6406,737 +6406,737 @@ Bits: 336768
00000000000000000000000000000000
00101000000000011010010000000000
11111001000000000011111001000000
-01001111000100000000001101100100
-00000010111110010000010000111110
-01000000000011111001000000100011
+00001111000100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
11100100000000001111100100000000
00111110010000000000111110010000
00000011110010100000000001100000
00000000000000000000000000000000
00101000000100001010000000000000
-11111000000000000011111000000000
-00101100100000000000001111100000
+11111000000000000011111000001000
+00001111100000000100001100100000
00000000111110000000000000111110
-00000001000001111000000000000011
-00100000000000001111100000000000
+00000000000011111000000000000011
+11100000000000001111100000000000
00111110000000000000111110000000
00000011110010100000010000100000
00000000000000000000000000000000
00101000000001010010100000000000
-10111010000000000010111010101000
-00001000101000000000001011101000
+10111010000000000010111010000000
+00001011101000000000001000101000
00000000101110100000000000101110
10000000000010111010000000000010
-00101000000000001011101000000100
+11101000000000001011101000000000
00101110100000000000101110100000
00000010110010100000000001000000
00000000000000000000000000000000
00101000000001010100110000000000
10110011000000000010110011000000
-00001001001100000000001011001100
+00001011001100000000001000101100
00000000101100110000000000101100
-11001000000010110011000000000010
-00001100000000001011001100000000
+11000000000010110011000000000010
+11001100000000001011001100000000
00101100110000000000101100110000
00000010110010100000000001010000
00000000000000000000000000000000
10100000000000010001110000000000
-10110111000000000010111110100000
-00001001011100100110001011011100
-01000100101101110000000000101101
-11000001100010110111101000000010
-00011100100000001011011100000000
+10110111000000000010110100000000
+00001011011100100000001000011100
+01000000101101110000000000101101
+11000000000010110111000001000010
+11011100100000001011011100000000
00101101110000000000101101110000
00000010111010000000000001000000
00000000000000000000000000000000
10101000000010000001111000000000
-11110111100000000011110111100010
-00001101011111100000001111011110
-00000000111101111000010000111101
-11100000000011111111101000000011
-00011111110000001111011110000000
+11110111100000000011110111100100
+00001111011111000000101100011110
+10000000111101111000000000111101
+11100000000011110111100000000011
+11011111101000001111011110000000
00111101111000000000111101111000
00000011111010100000001000000000
00000000000000000000000000000000
00001000000111011010110000000000
-11111011000000000011111001001000
-00001110101100000110001111101100
-00000000111110110000000000111110
-11011010000011111011010000001011
-11101100000000001111101100000000
+11111011000000000011111001011000
+00001111101100101000001111101100
+10100000111110110000000000111110
+11000000000011111011000000000011
+11101100100000001111101100000000
00111110110000000000111110110000
00000011110000100000011001100000
00000000000000000000000000000000
00000000000001011111111000000000
-11111111100000000011111101100000
-10000111111111010000000000111111
-01000000111111111000000000111111
-01100000000011111111110010000011
-11111110000000001100111110000000
+11111111100000000010111111100100
+00001111111110000000001111111110
+00000000110011111000000000110011
+11100000001011001111100000000011
+11111110000000001110111110000000
00111111111000000000111111111000
00000011110000000000000001110000
00000000000000000000000000000000
10101000000100011001110000000000
10110111000000000010110100000000
-00001011011100010000001000011100
-01000000101101110001000000101101
-00010000000010110111000000000010
-11111100000000001000011100010000
+00001011011100000000001011011100
+00000000100001110000000000100001
+11000000000010000111000100000010
+11111100000000001000011100000000
00101101110000000000101101110000
00000010111010100000010001100000
00000000000000000000000000000000
00000000000000001001110000000000
-10110111000000000010110111000000
-00001011011100010010011000011100
-00000000101101110000000000101101
-11000100000010110111000000000010
+10110111000000000010110110000000
+10001011011100000000001011011100
+00000000100000110000000000100000
+11000000000010000111000000000010
11011100000000001000011100000000
00101101110000000000101101110000
00000010110000000000000000100000
00000000000000000000000000000000
00100000000101001100110000000000
-10110011100000000010110001000000
-00001011001100000000001000001100
-00000000101100110000000100101100
-10000000000010110011000000000010
-11001100000000001000001100000000
+10110011000000000010110000000000
+00001011001100000000001011001100
+00000000100000110000000010100000
+11000000000010000011000000000010
+11001100000000001000001100000100
00101100110000000000101100110000
00000010110010000000010000110000
00000000000000000000000000000000
10101000000101011010110000000000
-11111011101000000011111011000000
-00001111111100000000101100111100
-00000000111110110000000000111110
-10000000000011111111000000000010
+11111011000000000011111011000000
+00001011111100000000001111111100
+00000010100010110000000000110010
+11000000000011001011000000000011
11111100000000001100101100000000
00111110110000000000111110110000
00000011111010100000010001100000
00000000000000000000000000000000
10000000000000001110110000000000
11111011000000000011111010000000
-00001111001100000000001111101100
+00001111101100000000001111101100
00000000111110110000000000111110
-10000000010011111011000000000011
+11000000000011111011000000000011
11101100000000101111101100000000
00111110110000000000111110110000
00000011111000000000000000110000
00000000000000000000000000000000
00000001000100001111110000000000
-11111111000000000011111110000000
-00001111111100000000001100111100
-00010000111111110000000000111111
-00000000000011111111000000000011
+11111111000000000011111101000000
+00001111111100000010001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
11111100000000001111111100000000
00111111110000000000111111110000
-00000011110000000100010000110000
+00000010110000000100010000110000
00000000000000000000000000000000
10000001000001000110110000000000
-10111011000000000010111000011000
-10001011101100000000001000101100
+10111011000000000010111001000100
+00001011101100000001001011101100
00000000101110110000000000101110
-00010000000010111011000000000010
+11000000000010111011000000000010
11101100000000001011101100000000
00101110110000000000101110110000
00000010111000000100000000010000
00000000000000000000000000000000
10000000000001010010110000000000
-10111011000000000010111001000000
-00001011101100000000001000101100
+10101011000000000010111011000000
+00001011101100000000001011101100
00000000101110110000000000101110
-01100000000010111011000000000010
-11101100000000001010101100000000
+11000000000010111011000000000010
+11101100000000001011101100000000
00101110110000000000101110110000
00000010111000000000000001000000
00000000000000000000000000000000
00001000000001000000110000000000
-10110011000000000010110000000000
-01001011001100000000001000001100
+10110011000000000010110010000000
+00001011001100000000011011001100
00000000101100110000000000101100
-00000000000010110011000000000010
-11001100000000001011001100000000
+11000000000010110011000000000010
+11001100000001001011001100000001
00101100110000000000101100110000
-00000110110000100000000100000000
+00000010110000100000000100000000
00000000000000000000000000000000
00000000000011010110110000000000
-11111011000000000011111010000000
-00001111011100000000101100111100
-00000000111110110000000000111110
-01000000000011111111000000000011
-11111100000000001110101100000010
+11101011000000000011111000000000
+00001111111100000000001111011100
+00000001111110110000010000111110
+11000000000011111011000000000011
+11111100000000001111101100000010
00111110110000000000111110110000
00000011110000000000001101010000
00000000000000000000000000000000
10100000000111011111110000000000
11111111000000000011111100000000
-10001111111100000010001111111100
-00000000111111110000000000111111
-00000000010011111111000000000011
+00001111111100000001001111111100
+00000001111111110000000000111111
+11000000000011111111000000000011
11111100000000001111111100000000
00111111110000000000111111110000
00000011111010000000011001110000
00000000000000000000000000000000
-11000000000000011111111001000000
-11101111100000000011001100100000
-00001111110010000000001101111100
-10000000110011001000000000111111
-00001100000011001101100000000011
-00110110000000101100111000100100
-00110001111000000000111111011001
-00100011001100000000000001110000
-00000000000000000000000000000000
-10000000000000001100110000000000
-10001011001000000011001001100000
-00001011100110000000001011111110
-00000000100010001000001000101110
-00011100000010001001000000000010
-00100100001000001000100111000000
-00100010110000000000101110010000
-00000010001000000000010000110000
+11000000000001011111101000000000
+11110111000000010011101111000000
+10001100110010010000001100110010
+00000000111111110011000000110011
+11000110000011001111001000100011
+00110000000000001100110000000000
+00110011000000000000110001001000
+00000011001100000000000001110000
00000000000000000000000000000000
-10001000000001011100110000000000
-10100011000010001110000000000000
-00001011000000000000001001001101
-00000000100000000000000000101100
-01000000000010001001000000001010
-00100100100000011010000100010000
-10100000110000000000101110110010
-00000010101000100000000101110000
+10000000000100001110111000000000
+10111111110000000010001111011101
+00001000000000000000001000100110
+00000000111011110011000010100010
+01010000000011011011110000000010
+00100110000000101000100010000000
+10100010111000000010100010001000
+00000010101000000000010000110000
+00000000000000000000000000000000
+10001000000001011100100000000000
+10110011010000000010100011000010
+01001000000100100000001000001000
+00000000101100110010000101100000
+01001000001010000011000100000010
+00000010000001001000000000000000
+00101000000000000000100010010000
+00000010001000100000000101110000
00000000000000000000000000000000
-11000000000101011000110000000000
-10001001000000000010011011000000
-00001011101100010000001011101100
-00000000100010000000000000101110
-11000000000010001001000100000010
-00101111000000011010100110000000
-00100010110000000000101110110000
+11000000000101011010110001000000
+10111011000000010010001011000000
+10001000101100000100001000100001
+00000000101010110000011000100010
+00000000100010011001000000001010
+00101010000000001000100000100000
+01101010110000001000100010011100
00000010101100000000010001100000
00000000000000000000000000000000
-01000000000100011110110000000000
-11101011100100100011001010000000
-00001111100000100000001101001100
-00000010110010100010000000111110
-10010000000001000001100000000010
-00000110000000001110100010000000
-00100010110000000000111100010000
-00001011100100000000010001110000
+01000000000101011110001000000000
+11111011000000000011101011000000
+00101100101000000000101100100000
+00000000111110110000000000110010
+11110000001011001011001000000010
+00100110000000001100101001001000
+00101010000010000000110010011100
+00000011000100000000010001110000
00000000000000000000000000000000
-11100000000000011011110000000000
-11111111000000000010101100000000
-00001111110100000000001111111100
-00000100111111100000000000111111
-00000000001011111101100000000011
-11110100000000001101000100000001
-00111111110000001000111111010010
-00010011011110000000000001100000
+11100000000000011011000000010000
+11110111000001000011111011000000
+00001111110000000010001111110110
+01010000111011110000000000111111
+11101000000011111110000000000011
+11000100000000001111101110000000
+00110111111001000000111111010000
+00000011111110000000000001100000
00000000000000000000000000000000
-01000000000100001010110000000000
-11011011000000000111111011000100
-00001110100001000000011111101100
-00000000110010110000000000110000
-11001000001011001001000000001011
-00101101000000001100100100000000
-00110010110011000000110010111000
-00000011110100000000010000100000
+01000000000100001010010000000000
+11111011000100000111000011000000
+00001100101100000000011100101001
+00000000111100110000000000111100
+10000000001011001000000000001011
+00100100000000001100001000000000
+00110100000000000000111110011100
+00001011000100000000010000100000
00000000000000000000000000000000
-11001000000001010010110000000000
-10001011000000000010111011100000
-00001011101100000000001111111101
-11010000100010110000000000110110
-11011000000010001001110110000011
-00101110001000100000100101010000
-00111010111000001010100010110000
-00000010111100100000000001000000
+11001000000001010010010000000000
+10111111100001100010001111000001
+00001000101100000000001000100000
+00000000101111110000000000101110
+10000000000010000000010000000011
+00101100000000101000101100000000
+10010010110000000000101100010100
+00000010001100100000000001000000
00000000000000000000000000000000
-11100000000001010100110000000001
-10011011000000000010110000000000
-00000010001000000000011011001110
-00000101100000010000000000100000
-00000000000110100001000000000010
-00000010000000000001000010000000
-00100000111100000000100000110000
-00000000111110000000000001010000
-00000000000000000000000000000000
-00100000000100010001111001000000
-10000111100100000010110101100001
-01011011011010000000011010001110
-00000001100011011000000000100101
-01100000000010100111100000000010
-01010110010000001001010110000000
-00101101111001000000100001111100
-00000010110010000000000001000000
+11100000000001010100001001100000
+10110011111000000010000011000000
+00001000100000000100001000000001
+00100001101100110000000000101100
+11000001000010000011011000000010
+00001100000000001000000100000000
+00000000000000000000101100110000
+10000010001110000000000001010000
+00000000000000000000000000000000
+00100000000000010001011001010000
+10110111100000000010000111100100
+00101000010010000000101000011110
+00000000101101111001000000101100
+10100100100110000010100000000000
+01011110000000001000011110010000
+00100101111001000000101111011000
+00000010000010000000000001000000
00000000000000000000000000000000
-01001000000000000000110000000000
-11010011000100000010110000000100
-00001110000100000000001011001100
-00000010110000010000000000110010
-00000000000001101001000000000011
-00101100000000001101000100001000
-00110000110001000000110000110000
-00000011110100100000001000000000
+01001000000010000000100000000000
+11110011000000000010000011000000
+00001100000100000000001000001100
+00000000111100110000000000111100
+11000000010011000010000000001011
+00000100010000001100000100000100
+00110000000001000000111100010000
+00000011000100100000001000000000
00000000000000000000000000000000
-01000000000101011011110000000000
-11111111000100000011111111000000
-00001111111100000000001111111100
-00000000111101010000000000111111
-11000000000011011111000100000011
-10111100000000001110110100000000
-00111011110001000000111111110001
+01000000000111011011110100010001
+11111111010000000011111111010000
+00001111111100000010101111111100
+01000000111111110000000000111111
+10010000000011111100000000000011
+10111100010000000111111100000000
+00111011110001000000111111010000
00000011110100000000011001100000
00000000000000000000000000000000
-10101000000001011110110000000000
-11101011100000000011001010000000
-00001111101010000001001100101101
-00001000111110100000000000111110
-10000000010011001011000000000011
-00100000000000101100100010000000
-10110010111000000000110000110000
-00000011001010100000000001110000
+10101000000001011110000010011000
+11001011000100100011111011000000
+00001100101000100000011111000010
+00000000110010111100000010110010
+10010000000011001011000000000011
+00101100000000001111101100000000
+00110010110000000000110010110000
+00000011111010100000000001110000
00000000000000000000000000000000
-01001000000100011011110000000000
-10000111000000000011010100000000
-00001011011000000100101000011100
-01000000101101100000100000101101
-01000000000010000111000000000010
-00110100000000001000010100000000
-00100001110000000000100001110000
-00000010000100100000010001100000
+01001000000100011001000000001000
+10000111001000000010111111001000
+01001101010000010000001110011100
+00000000100001110011000000100011
+10000000000010000111000000010010
+00011100000100001011001100000001
+00110100110000000000100001010000
+00000010110100100000010001100000
00000000000000000000000000000000
-11000000000000001001111000000000
-10101111100000000010010111100000
-00001011110110000000001000011110
-01000000101101111000000000101100
-10100000000010000111100000000110
-00011110001000001000000110000000
-00100001111000000000100101111000
-00000010001100000000000000100000
+11000000000000001011110001000000
+10100111100000000010110111100100
+00001000011110000000001011111110
+00000010100101111011000000100001
+10100010000010000101100000000010
+00010110000000001011011110000000
+01100001111000000000100001011000
+00000010111100000000000000100000
00000000000000000000000000000000
-01001000000101001110110000000000
-10000011000100000010010011110000
-00001011001100000001001000001100
-00000000101100111100000000101110
-11000100001010001011000000001010
-00101100000000001000000110000000
-00100000110000000000100100110000
-00000010000100100000010000110000
+01001000000101001100110100100000
+10000011000000000010110011000000
+00001001001100000000001011001100
+00000000100100110000001000100000
+10010000101010000001000001000010
+00001100000000001011001110100100
+01100100111001000000100000010000
+00000010110100100000010000110000
00000000000000000000000000000000
-11101000000101011010100000000000
-11101010000001000011011110000010
-00001111111000000000001100101000
-00000000111110101010000000111111
-10100000000011001010001000001011
-00111000000000001100111000100000
-00110010100000000010110110100000
-00001011001110100000010001100000
+11101000000101011010101000100000
+11001010000000000011111010000000
+00001100101010000000001111111000
+00000000110110100000000000110010
+10110000000011001110111000000010
+00111000000000001111111010100000
+00110001100100000010110001100000
+00000011111110100000010001100000
00000000000000000000000000000000
01001000000000001110000000000000
-11111000000000010011111000001000
-00001111100000000001001111100000
-00010000111110000000000000111110
-00000000000011111000000000000011
-11100000000000001111100001000000
-00111110000100000000111010000000
+11111000000000000011111000000000
+00001111100000010000001010100000
+00000100111010000000000000111100
+00000000000011110000000000001011
+11100000100010001111100000000001
+00111110000000100000111110001000
00000011110100100000000000110000
00000000000000000000000000000000
-00001000000100001110010000000000
-11001001000000100011111001000000
-00001111100100000000000111000100
-00000000110010110000100000111110
-01000000000011001001000000000011
-01100111000000001100100111000000
-00111110011001000000111110010000
+00001000000100001110011100000000
+11111001000000000011110001000000
+00001100101100000000001011100100
+00010000111110010000000000111110
+11000010000011001001000010000011
+00100100000000001111000100000000
+00110010010000000000110010010000
00000011000000100000010000110000
00000000000000000000000000000000
-10000000000001000110010000000000
-10001001000001000000111001000000
-00001011100101001000001011100100
-00001010100010010000000000101110
-01010000000010001001000000000010
-00100101000010101010100101000000
-00101110011110000000101110010000
-00000010001000000000000000010000
+10000000000001000110111000010000
+10111001000000000010111001000000
+00001000100100000000001011100100
+00000000101110010000000000101110
+01000001000010101001000000001011
+01100100000000001011100100000010
+00100010010000000010100010010100
+00000011011000000000000000010000
00000000000000000000000000000000
-00011000000001010010010000000010
-10001001000000010010111001000000
-00001011100100000000001011100100
-00000000100010010000000100101110
-11000010000010001001000000000010
-01100101000000001000100101000000
-00101110010000000000101100011000
-00000010000001100000000001000000
+00011000000001010010010000000000
+00111001000000000010111001000000
+00001000100100000001001011100100
+00010000101110010000000000101110
+11000000000010001001000000000010
+00100100000001011011100100000000
+00100010010000000000100010010000
+10000010000001100000000001000000
00000000000000000000000000000000
-00001000000001000000010000000000
-10000001000000000010110001000000
-00001011000100000000001011000100
-10100100100000010000000000101100
-01001000001010000001000000000010
-00000100000000001010000100100000
-00101100010000000001101100011000
-00001010000000100000000100000000
+00001000000001000000011000010000
+10110001001010000010110001001010
+00001000000100000000001011000100
+00000100101100010010100000101100
+01001000000010100001001000001010
+01000100100000001011000100100000
+10100000010010000000100010010000
+00000010010000100000000100000000
00000000000000000000000000000000
10111000000011010110000000000000
-11001000000000000011111000000000
-00001111100000000000001111100000
-10000000110010000000000000111110
-00010100000011000000010100000011
-01100001010000001100100000000001
-00111100000101000000111110000101
+11111000001000000010111000001000
+00001100100000000000001111101000
+00000000111100000010000000101110
+00000000010011001000000000000111
+00100000000001001111100000000000
+00110010000000000000110010000000
00000011001011100000001101010000
00000000000000000000000000000000
-10011000000111011110010010100010
-11110101001010000111111101000000
-00001111010100000000001111100100
-00000000111110010000000000111111
-01000100000011111101000000000011
-11110100000000001111110100010010
-00111110010000000000111111010000
+10011000000111011100010000000000
+11111001000000000011111001001010
+00101111100100101000001111110100
+00000000111110010010100000111110
+01001110000011110101000100110011
+11110100010000001111110100010000
+00111111010001000000111111010000
00000011111001100000011001110000
00000000000000000000000000000000
-00011000000001011110010000000000
-11101001000000000011001001000000
-00001111100100000000101100100100
-00100000110010010000000000111111
-01000100000011001101010000000011
-11110100010000001100110100000000
-00110011010100000000111111010100
+00011000010001011110010000000000
+11111001000010000011001001011000
+00000100100100000010001100100100
+00000000110010010000000000111110
+01000110000011001001001000100011
+00100100100000001111100100101000
+00110000010000000000111110010000
00000011000001100000000001110000
00000000000000000000000000000000
-00111000000100001100000010001000
-10001000000000000010001000000000
-01001011100000000000001000100001
-00001000100010000000000000101110
-10010100100010000000000000000011
-00100000000000001101100001010010
-00110110000000000000101100000000
-00001010000011100000010000110000
+00111000000100001110000000000100
+10111000010000000001001000011001
+00001000100000000100001000101000
+00000000100010000100000000101110
+00000000010010101000000000010011
+10100001000000001011100001000000
+00100010000000000000101111000000
+00010010100011100000010000110000
00000000000000000000000000000000
-00001000000001011100010000100000
-10100001001010000010000001100000
-00001011100100000000001000000100
-00000000100000010000000000101100
-01001000000010000001001000000010
-10001100100000001000000100000000
-00100100010010000001101100010010
+00001000000001011100010000000000
+10110001000000100010000001010000
+01001000000110101000001000100100
+00000000100000010100100000101100
+01001000000010000101110000000010
+01010101000000001011010100000000
+01100101110100000000101111011000
00000010000000100000000101110000
00000000000000000000000000000000
-00011000000101011000010000001000
-10000001000000000010001001000001
-00001011100100101000000000100100
-00000000100010010000000000101100
-01000000100010000001000000000010
-10100101000000001001100101000000
-00100110010000000000001110010001
-00000010000001100000010001100000
-00000000000000000000000000000000
-10100000000101011110010000000000
-11101001000000001011001001100000
-00001111100110000000000100100100
-00000010110010010000100000111110
-01100000001011001001000000001011
-10100101000000001100100110010000
-00110110010000000100111110011000
+00011000000101011010010000001000
+10111001000001000010011001000000
+00001000100110000000001000100110
+00000000100010010000000000101110
+01000000100010101001001001000010
+10110100100000001011110100000000
+11100111010000000000101111010000
+00000010100001100000010001100000
+00000000000000000000000000000000
+10100000000101011110010110000000
+11111001000000001011001001000000
+00001100100100000000101100000101
+00000010110010010000000000111110
+01100010000011001001000000001111
+01100111001000001111100100000000
+00110110010000001000111110010000
00000011001010000000010001110000
00000000000000000000000000000000
-00101000000000011010010000000000
-11111001110000000011111011110000
-00001111101110000010001111001100
-00000100111110010000000000111110
-01001000000011111001000000000011
-01100110010010001111000110001000
-00111110010000100000111110010000
-10000011110010100000000001100000
+00101000000000011010010010000000
+11110011000000000011100001000001
+00101111100100000000001111101100
+00000000111110010000000000111110
+01101000000011111001100000010011
+11100110000000001111100100000001
+00111010010000001000111110010010
+00000011110010100000000001100000
00000000000000000000000000000000
-00101000000100001010000000000000
-11001000000000000011111000100000
-00001100100000001000001111100000
-00010000111110000100000000111110
-00000000000011001000000000001011
-00100001000000001100100001000000
-00111110000000000000110010000000
-00001011000010100000010000100000
+00101000000100001010000100000000
+11111000000000000011011000000000
+00001100100000000000001100100001
+00000100111110000000010000111100
+00010000000011110000000000001011
+00100011000001001111100000000000
+10110010000000000000110011000000
+00000011110010100000010000100000
00000000000000000000000000000000
-00101000000000010010100000000000
-10001010000000000010111010000000
-00001100001000000000001011101010
-00000000101110100000000000101111
-10001000000010001110000000001000
-00111000000010101000111001100000
-00101111100110000000100001101000
-00000010000010100000000001000000
+00101000000001010010100000000100
+10011010100000100010001010000000
+00001000101000000000001000101000
+00000000100110100000000000101110
+10000000000010111010000001001010
+00101000000000001011001000100000
+00100010100000000110100000100000
+00000010110010100000000001000000
00000000000000000000000000000000
-00101000000001010100110000000010
-10000011000000000010110011100000
-00101001001101000000001011001110
+00101000000001010100110000000000
+10110011100100000010010011000000
+00001000101100000000001000001100
00000000101100110000000000101100
-11100000000010000001000100000000
-00100100000000001000001101000000
-00101100111100000000101100110100
-00000010000010100000000001010000
+11000000010010110011000000000010
+00001100000000001011001110000100
+00100100110000000000100000100000
+00000010110010100000000001010000
00000000000000000000000000000000
10100000000000010001110000000000
-10000111000000000010111111100010
-00001000011100000000001011010000
-00100000101101110000000000101101
-01000000000010000101001000000010
-00010100000000001000010100000000
-00101101111000000000100101110000
-10000010001010000000000001000000
-00000000000000000000000000000000
-10101000000010000001111111000000
-11000111101100010011110111100000
-01001101011110010000001111011110
-00110000111101111000000100111111
-10100000001011000101101000001011
-00110110000000001100011110000000
-00111111011000000010111101111000
-00000011001010100000001000000000
+10110100000000000010100111001000
+00001000011100100000001000011100
+00000000100101110001000000101101
+11000000000010110010000000000010
+00011100000000001011011100000001
+00100101111000000000100001100000
+00000010111010000000000001000000
00000000000000000000000000000000
-00001000000111011010110010000010
-11111011000000000011110011011000
-00001111101100100000001111100000
-00000000111110110000000000111110
-00000000010011111001000100000011
-11100100000000001111100100000000
-00111110010110100000111010100000
-00000011110000100000011001100000
+10101000000010000001111001000000
+11110011100100000011010011110100
+00101100111111010000101100011010
+00100000111101111010000000111101
+11100000010011110111100000001011
+00011110000000001111001110000000
+10110101111000000000110001111000
+00000011111010100000001000000000
+00000000000000000000000000000000
+00001000000111011010000010000000
+11011000001000000011011011000000
+00001111101100000000001111101100
+10000000100110110100100000111110
+11010100000011111010000000000011
+11101100000000001011101100000000
+00111010110000000000111110110000
+01000011110000100000011001100000
00000000000000000000000000000000
00000000000001011111111000000000
-11101111100010010011001110110000
-00001111111010000000001111111110
-00000000110011111000000000111111
-11100000000011111111100000000011
-00110110000000001100111110010000
-00110011111000000000110001101000
-00000011000000000000000001110000
+11111111100000000011111111100000
+00001100011110000000001100111110
+00000000111111111000000000110011
+11110000000011001111100001001011
+00111010000000001100110110000000
+00110011011000000000110011101000
+00000011110000000000000001110000
00000000000000000000000000000000
-10101000000100011011110000000000
-11010111000000000011010100000100
-00001011011000001000001011010000
-01000000100001110000000000101101
-11000000000010110111001010000010
-00110100000010001000010100000000
-00110101110010000000100001100000
-00000010001010100000010001100000
+10101000000100011001110001000000
+10110100000000000000110111000000
+00001000011100000000001000011100
+00000100101111110000010000100001
+11000000000010000110000100000011
+11011000010000001000010101000000
+00100001010000001000100001100001
+00000010111010100000010001100000
00000000000000000000000000000000
00000000000000001001110000000000
-10101111000000000010000110010000
-00001011011001000000001011011100
-00000000100101110000000000101101
-10010000000010111111000000000010
-00010100000000001010011100001001
-00100001010000000001100001100000
-00000010010000000000000000100000
+10110111000000000010110111000100
+00001000111100001000101001011001
+00000000101101110000000000100001
+11000100000010000111000000000010
+00011000001000001000010100001000
+00100001010000000000100001111000
+10000010110000000000000000100000
+00000000000000000000000000000000
+00100000000101001100000110000000
+10110000000001000010110011000000
+01001000001110000000001001001110
+00000000101100110000001010100000
+11001000100010000010000000001010
+11001001001010001000000101000000
+00100000011100000000100000111000
+00000010110010000000010000110000
00000000000000000000000000000000
-00100000000101001100110000000000
-10010011000000000010010000000100
-00011011001000000010001011000000
-00000000100100110000000000101110
-10100000100010110011100000000010
-00100100000000101010000011000000
-00100110010000000000100000010000
-00000010010010000000010000110000
+10101000000101011010000000000000
+11111011000000000011111111000000
+10001100111110000000001101100111
+10000000111111110000000000110011
+11000000000011001001000000001011
+00000100000000001100101001000000
+00110010101100100010110000001000
+00000011111010100000010001100000
00000000000000000000000000000000
-10101000000101011011110000000000
-11101111100000000011001001010000
-00001111100101000100001111101100
-00000110110110110000001000111110
-11101000000011110100000000001011
-00100101000000001110001110000000
-00110010110000000000110010110000
-00001011011010100000010001100000
+10000000000000001110010000000000
+11110010000001000011110011000001
+00001111101100000000001110100000
+00000000111110110000000000111100
+11000100000011110000100010000011
+11100100000100001111101001000000
+10111100100000000000111110000000
+00000011111000000000000000110000
00000000000000000000000000000000
-10000000000000001110110000000000
-11111011000100000011111001000000
-00001111100101000000001111101000
-00000100111010110000000000111110
-00000000000011111001000000000011
-11100111000000000001100100000000
-00111110010100000000111110110000
-00000011101000000000000000110000
-00000000000000000000000000000000
-00000001000100001111110000000010
-11001111000000000011001101000000
-00001100110000000000000011101100
-00000000111111110000000000111111
-11000000000011001100001000100011
-00110000001000001101101100001001
-10110011010000000000110011110000
-00000011110000000100010000110000
+00000001000100001111100100000001
+11111111100000000011001111000000
+11001100111100000000001100110000
+01000000111101110000000000110011
+11000000000011001001101000000011
+00110100000000001100011000001000
+00110010100000100000110011010000
+00000011000000000100010000110000
00000000000000000000000000000000
-10000001000001000110110000000000
-10100011000000001010000001000000
-00001000100011001001001011101010
-01000100101110110000000000101110
-00011000000010001001000000000011
-01100010100000101000100110000000
-00100010011000000000100010100000
-00000010111000000100000000010000
+10000001000001000110000110000000
+10111010000000000010001011000000
+00001000101100000110001000100001
+00110000100110110000000000100010
+11000000001011011000100000001010
+10100100000000001000101001000100
+00100010100000001000100010010000
+00000010001000000100000000010000
00000000000000000000000000000000
-10000000000001010000110000000000
-10001011000000000010001010100000
-00101000100100100000001011100100
-00000000101110110000000000101110
-01000100010010001010010000000010
-00100110000000001001101110000000
-00100010111100000000100010100000
-00000010111000000000000001000000
+10000000000001010010000000000000
+10111001000100001010001011000000
+00001000001100000000001000100100
+00000000101110110000000000100010
+11000000000010001001000000000010
+00100010000000001000100000000000
+00100010000000000000100010000010
+00000010001000000000000001000000
00000000000000000000000000000000
-00001000000001000000110000000000
-10101011000000000010001000000000
-01001000000100000001001011000000
-00000000101100110000000000101100
-00000000100010000001000000000010
-01000100000000001000000100000000
-00100000110000000000100000100000
-00000110110000100000000100000000
-00000000000000000000000000000000
-00000000000011010111110000000000
-11001111000000000010001010000000
-00001100100000000000001111100100
-00000000111110110000000100111110
-01000000001001001010000000000011
-00101000000000001101100100000001
-00110001010000000010110010100000
-00000011110000000000001101010000
+00001000000001000000010000000000
+10110000000000000010000011000000
+00101000001100000000001000000000
+00000000100100110000000000100000
+11000000000010010000000000000010
+10000000000000001000000000000000
+00100000001000000000100000000000
+00000010000000100000000100000000
00000000000000000000000000000000
-10100000000111011111110000000000
-11110111000000000011111100000000
-00001111110000000000001111110000
-00000000111111110000010000111111
-00000000000011110101000000000011
-11110000000000001111110000000000
-00111111000000001000111111010000
+00000000000011010110100000000000
+10111001000000000011000111000000
+10001100111100000000001100100000
+00000000111111110000000000110001
+11000000000011001001000000000011
+00100000000000001100100000000000
+00110010000000000000110010010000
+00001011000000000000001101010000
+00000000000000000000000000000000
+10100000000111011111000000000000
+11111100000000000011111111000000
+00001111111100000000101111110000
+00000000010111110000000100111111
+11000000000011111100000000000011
+11010000000000001111010000000000
+00111111000000000000111111010000
00000011111010000000011001110000
00000000000000000000000000000000
11000000000001011111111000000000
-11111111100000000011111111100000
-00001111111101000000001111111100
-10000000111111111000000000111111
-11000100000010001111100000000011
+11111111100000000011111111100001
+00001111111110010000001111110100
+00001000111111111000000000111111
+11100000000011111111100000000011
11111110000000001111111110000000
00111111111000000000111111111000
00000011111100000000000001110000
00000000000000000000000000000000
-10000000000100000110111000000000
+10000000000100001010111000000000
10111011100000000010111011100000
-00001011111101010000001011111101
-00100000101110111000000000101111
-11011100000010001011100000000010
+00001011101100000000001011100110
+00000000101110111000000000101110
+11100000000010111011100000000010
11101110000000001011101110000000
-00101110111000000000101110111000
+00101110111000010000101110111000
00000010111100000000010000110000
00000000000000000000000000000000
-10001000000001011100110000000000
+10001000000001001100110000000000
10110011000000000010110011000000
-00001011001100100000011011001100
-11000000101100110000000000101100
-11001001000010000011000000000010
+00001011001100100001001011001000
+00000001101100110000010000101100
+11000000000010110011000000000010
11001100000000001011001100000000
00101100110000000000101100110000
00000010111100100000000101110000
00000000000000000000000000000000
11000000000101011010110000000000
-10111011000000000100111011000000
-00001011101100000000001011101100
-00000000101110110000000000101110
-11000000000010001011000000000010
+10111011000000000010111011000000
+00001011101100000101001011101100
+01010000101110110000000000101110
+11000000000010111011000000000010
11101100000000001011101100000000
00101110110000000000101110110000
00000010111100000000010001100000
00000000000000000000000000000000
01000000000101011110110000000000
11111011000000000011111011000000
-00001111101100000000001111101100
-00000000111110110000000000111110
-11000000000011001011000000000011
+00001111101100000000001111100100
+00100000111110110000000000111110
+11000000000011111011000000000011
11101100000000001111101100000000
00111110110000000000111110110000
-00000011110000000000010001110000
+00100011110001000000010001110000
00000000000000000000000000000000
11100000000000011011110000000000
-11111111000000000011111111000000
-00001111111100000000001111111100
-00000000111111110000000000111110
+11111111000000100011111111000000
+00001111111100000100001111110100
+00000000111111110000000000101111
11000000000011111111000000000011
-11111100000000001111111100000000
+11111100000000001111111100000110
00111111110000000000111111110000
-01100011111111000000000001100000
+00000011111110000000000001100000
00000000000000000000000000000000
01000000000100001010110000000000
-11111011000000000011111011000010
-00001111101100000000001111101100
-00000000110010110001000000111110
-11000000000011001011000000000011
+11111011000000000011111011000000
+00001111101100000000001111101001
+00000000011110110000000000111110
+11000000000011111011000000000011
11101100000000001111101100000000
00111110110000000000111110110000
-00000011110100000000010000100000
+00000011110101000000010000100000
00000000000000000000000000000000
11001000000001010010110000000000
10111011000000000010111011000000
-00001011111100000000001011111100
-00000000100010110000000000101111
-11000000000010001011000000000010
+00001011101100000000001011101100
+00001000101110110000000000101110
+11000000000010111011000000000010
11101100000000001011101100000100
00101110110000000000101110110000
-00000010111101100000000001000000
+00000010111100100000000001000000
00000000000000000000000000000000
11100000000001010100110000000000
-10110011000000000010110011010000
-00001011001100000100001011001100
-00000000100000110000100100101110
-11000001000010000011000000000010
+10110011000000000010110011000000
+00001011001100000000001011001000
+00000000101100110000000000101100
+11000000000010110011000000000010
11001100000000001011001100000000
00101100110000000000101100110000
00000010111110000000000001010000
00000000000000000000000000000000
00100000000000010001111000000000
10110111100000000010110111100000
-00001011011110000000001011011110
-00000010100001111000000000101101
-11100000000010000111100000000010
+00011011011110000000001011010110
+00000000101101111000000000101101
+11100000000010110111100000000010
11011110000000001011011110000000
00101101111000000000101101111000
-01000010111111000000000001000000
+00000010111111000000000001000000
00000000000000000000000000000000
01001000000010000000110000000000
11110011000000000011110011000000
-00001111001100000000001111101100
-00000000110000110000000000111100
-11000000000011000011000000000011
+00001111001100000000001111001000
+00000000111100110000000000111100
+11000000000011110011000000000011
11001100010000001111001100000000
00111100110000000000111100110000
00000011110100100000001000000000
00000000000000000000000000000000
01000000000111011010110000000000
-11111011000000010011111011000000
-00001111101100000000001111101100
-00100000111110110000000000111110
-11000010000011111011000000000011
+11111011000000000011111011000000
+00001111101100010000001111101100
+01000000111110110000000000111110
+11000000000011111011000000000011
11101100000100001111101100000000
00111110110000000000111110110000
00000011110100000000011001100000
00000000000000000000000000000000
10101000000001011110110000000000
-11111011000000000011111011000000
-00001111101101010000001111101100
-10000000110010110001000000111110
-11001000000011111011000000000001
+11111011000000000010111011000000
+00001111101110000000001101101010
+00010000110010110000000000111110
+11000000000011111011000000000011
11101100000000001111101100000000
00111110110000000000111110110000
00000011111010100000000001110000
00000000000000000000000000000000
01001000000100011001110000000000
-10110111000000000010110111010000
-00001011011100101000001011001100
-00100000100001110000000000101101
-11000010000010110111000000000010
+10110111000000000010110111000000
+00001011111100000000001000000100
+00000000100001110000000000101101
+11000000000010110111000000010010
01011100000000001011011100000000
00101101110000000000101101110000
00000010111100100000010001100000
00000000000000000000000000000000
11000000000000001001111000000000
-10110111100000000010110111101000
-10001011011110000000001011011110
-10000000100001111000000000101101
+10110111100000000010110111100001
+00001011011110000000001001011010
+00000000100001111000000000101101
11100000000010110111100000000010
11011110000000001011011110000000
00101101111000000000101101111000
@@ -7144,7 +7144,7 @@ Bits: 336768
00000000000000000000000000000000
01001000000101001100110000000000
10110011000000000010110011000000
-00001011101100000000001011001100
+00001011001100000000001000001100
00000000100000110000000000101100
11000000000010110011000000000010
01001100000000001011001100000000
@@ -7153,44 +7153,44 @@ Bits: 336768
00000000000000000000000000000000
11101000000101011010100000000000
11111010000000000011111010000000
-00001111101000000000001111101000
-00000000110010100000000100111110
-10000001000011111010000000000011
+00001111101000000000001101111000
+00000010110010100000000000111110
+10000000000011111010000000000011
11101000000000001111101000000000
00111110100000000000111110100000
00000011111110100000010001100000
00000000000000000000000000000000
01001000000000001110000000000000
-11111000000000000011111000010000
-01001111100000000000001111100000
-00000010111110000100000000111110
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
00000000000011111000000000000011
01100000000000001111100000000000
00111110000000000000111110000000
00000011110100100000000000110000
00000000000000000000000000000000
00001000000100001110010000000000
-11111001000000000011111001100000
-00001111100100000000001100000100
-00000000110010010000000000111110
+11111001000000000011111001000000
+00001111100100000001001111100100
+00000000111110010000000000111110
01000000000011111001000000000011
11100100000000001111100100000000
00111110010000000000111110010000
00000011110000100000010000110000
00000000000000000000000000000000
10000000000001000110010000000000
-10111001000000000010111011100100
-01001011100100000000001000100100
-00010000100010110110000000101110
+10111001000000000010111001000001
+00001011100100000000001011100100
+00000000101110010000010000101110
01000000000010111001000000000010
11100100000000001011100100000000
00101110010000000000101110010000
-00000010111000000000000000010000
+00010010111000000000000000010000
00000000000000000000000000000000
00011000000001010010010000000000
10111001000000000010111001000000
-00001011100100000000001000100100
-00000010100010010000000000101110
+00001011100100000000001011100100
+00000000101110010000000000101110
01000000000010111001000000000010
11100100000000001011100100000000
00101110010000000000101110010000
@@ -7198,690 +7198,690 @@ Bits: 336768
00000000000000000000000000000000
00001000000001000000010000000000
10110001000000000010110001000000
-00001011000100100000001000000100
-10000000100000010000000000101100
-01001000000010110001000000010010
+00001011000100000000001011000100
+10000000101100010000000000101100
+01000000000010110001000000000010
11000100000000001011000100000000
00101100010000000000101100010000
00000010110000100000000100000000
00000000000000000000000000000000
10111000000011010110000000000000
-11111000000000000011111010000000
-00001111100001010000101100100001
-01000000110010000000000000111110
-00010100000011111000000000000011
+11111000000000000011111000000000
+00001111100001010000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
11100000000000001111100000000000
00111110000000000000111110000000
00000011111011100000001101010000
00000000000000000000000000000000
-10011000000111011110010000010000
-11111001000000000011111001000000
-00001111100100010000001111100100
+10011000000111011110010000000000
+11111001000000000011111001000001
+10001111100100000000001111110100
01000000101110010000000000111110
-01000100000011111001000000000011
-11100100000000001111100100000100
+01000000000011111001000000000011
+11100100000000001111100100000000
00111110010000000000111110010000
00000011111001100000011001110000
00000000000000000000000000000000
-00011000000000011110010000000000
+00011000000001011110010000000000
11111001000000000011111001000000
-00001100100101100000001100100100
-10000000110000010000000000111110
-01011000000011111001000000000011
-11100100000000001111100100000110
+00001111100100000000001100100100
+10000000111110010000000000111110
+01000000000011111001000000000001
+11100100000000001111100100000000
00111110010000000000111110010000
00000011111001100000000001110000
00000000000000000000000000000000
00111000000100001110000000000000
-10111000000001000010111000000000
-00001000100001100000001000100001
-10100000100010000000000000101100
-00011000000010111000000000000010
+10111000000000000010111000000001
+00001011100000000000001000100000
+00000000101110000000000000101110
+00000000000010111000000000000010
11100000000000001011100000000000
00101110000000000000101110000000
00000010110011100000010000110000
00000000000000000000000000000000
00001000000001011100010000000000
-10110001000000000010111001000000
-00001000000100010000001000000100
-01000000100000010000000000101100
-01010000000010110001000000000010
+10110001000000000010110001000000
+00001011000100101000001000000101
+00000000101100010000000000101100
+01000000000010110001000000000010
11000100000000001011000100000000
00101100010000000000101100010000
00000010110100100000000101110000
00000000000000000000000000000000
00011000000101011010010000000000
10111001000000000010111001000000
-01001000000100000000011000000100
-00000010100010010000000000101110
-01000000000010111001000000000010
+01001011000100000000001000100100
+00000000101110010000000000101110
+01000000000010111001000000100010
11100100000000001011100100000000
00101110010000000000101110010000
00000010110001100000010001100000
00000000000000000000000000000000
10100000000101011110010000000000
-11111001000000000011110001000000
-00100100100100000000101100100100
-00000100110010010000000100111110
+11111001000000000011111001000000
+00001111100100000000101100100111
+10000000111110010000000000111110
01000000000011111001000000000011
11100100000000001111100100000000
-00111110010000000000111110010000
+00101110010000000000111110010000
00000011111010000000010001110000
00000000000000000000000000000000
00101000000000011010010000000000
11111001000000000011111001000000
00001111100100000000001111100100
-00000000111110010000000000111110
-01000000000011111001000000010011
+10000000111110010000000000111110
+01000000000011111001000000000011
11100100000000001111100100000000
00111110010000000000111110010000
00000011110110100000000001100000
00000000000000000000000000000000
-00101000000100000010000000000000
+00101000000100001010000000000000
11111000000000000011111000000000
-00001111100000000000101100100000
-00000000111110000000000000110010
-00000000001011001000000000000011
-11100000000000001110100000000000
+00001111100000000000001111100000
+00000000110010000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
00111110000000000000111110000000
00000011110010100000010000100000
00000000000000000000000000000000
00101000000001010010100000000000
-10111010000000000010111010100000
-00001011101000000000001000101000
-00000000101110101000000000110110
-10000000000010001010000000000010
-11101000000000001011101000000100
+10111010000000000010111010000000
+00001011101000000000001011101000
+00000000100010100000000000101110
+10000000000010111010000000000010
+11101000000000001011101000000000
00101110100000000000101110100000
00000010110010100000000001000000
00000000000000000000000000000000
00101000000001010100110000000000
-10110011000000000010110011100100
-00001011001100000000001000001100
-00000000101100111001000000100000
-11000000000010000011000000000010
-11001100000000001010001100000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000100000110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
00101100110000000000101100110000
00000010110010100000000001010000
00000000000000000000000000000000
10100000000000010001110000000000
10110111000000000010110111000000
-10011011001100100000001001011100
-10000000101111111000000000100101
-11101000000110000111000000000010
+00001011011100100000001011001110
+00000000100001110000000000101101
+11000000000010110111000000000010
11011100000000001011011100000000
00101101110000000000101101110000
00000010111010000000000001000000
00000000000000000000000000000000
10101000000010000001111000000000
11110111100000000011110111100000
-00001111011110010000001100011110
-00000000111101101000000100110001
-11111010000011000111100000000011
-11011110000000001110011110000000
+00001111011111000000001111011110
+00000010110001111000000000111101
+11100000000011110111100000000011
+11011110000000001111011110000000
00111101111000000000111101111000
00000011111010100000001000000000
00000000000000000000000000000000
-00001000000011011010110000000000
-11111011000000000011111010011010
-00001111101100010000001110101101
-11100000111110110110100000111110
-11011000000011111011000000000011
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100101010001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
11101100000000001111101100000000
00111110110000000000111110110000
00000011110000100000011001100000
00000000000000000000000000000000
00000000000001011111111000000000
-11111111100000000011111101100000
-00001111111110000100001111111110
-00000000111111111000000000111111
-11100000010011111111100000000001
+11111111100000000011111111100000
+00001111111110000000001111111110
+01000000111111111000000000111111
+11100000000011111111100000000011
11111110000000001111111110000000
00111111111000000000111111111000
00000011110100000000000001110000
00000000000000000000000000000000
10101000000100011001110000000000
-10110111000000000010110101000000
+10110111000000000010110111000000
00001011011100000000001011011100
-00000000101101010000000000101101
-11000100000010110111000000000010
-01011100000000001011011100000000
+11100000101101110000000000101101
+11000000010010110111000000000010
+11011100000000001011011100000000
00101101110000000000101101110000
00000010111010100000010001100000
00000000000000000000000000000000
00000000000000001001110000000000
-10110111000000000010110101000000
+10110111000000000010110111000000
00001011011100000000001011011100
-00000000101101100000000000101101
+00001000101101110000000000101101
11000000000010110111000000000010
11011100000000001011011100000000
00101101110000000000101101110000
-00000010110001100000000000100000
+00000010110001000000000000100000
00000000000000000000000000000000
00100000000101001100110000000000
-10110011000000000110110000000000
-00001011001100000000011011001100
-00000000101100010000000001101100
+10110011000000000010110011000000
+00001011001100000010001011001100
+00000000101100110000000000101100
11000000000010110011000000000010
-01001100000000001011001100000000
+11001100000000001011001100000100
00101100110000000000101100110000
-00000010110110000000010000110000
+00000010110110100000010000110000
00000000000000000000000000000000
10101000000101011010110000000000
-10111011000000000011111010000000
-00001111111100000000001111111100
-00000000111110010000000000111111
+11111011000000000011111011000000
+00001111111100000000001111101111
+00000000111110110000000000111110
11000000000011111011000000000011
11101100000000001111101100000000
00111110110000000000111110110000
-00000010111010000000010001100000
+00000011111010100000010001100000
00000000000000000000000000000000
10000000000000001110110000000000
-11111011000000000011111010000000
-00001111001100000100001111101100
-00000000111110100100000000111100
-11000001000011111011000000000011
-01101100000000001111101100000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+10000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
00111110110000000000111110110000
-00000011111000000000000000110000
+00000011111001000000000000110000
00000000000000000000000000000000
00000001000100001111110000000000
-11111111000000000011111101010000
-00001111111100000000001100111100
-00000000111111001010000000111111
-11000000000011001111000000000011
+11111111000000000011111111000000
+00001111111100000010001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
11111100000000001111111100000000
00111111110000000000111111110000
00000011111000000000010000110000
00000000000000000000000000000000
10000001000001000110110000000000
-10111011000000100010111000011000
-00001011101100000000001000101100
-00000000101110101000000000101110
-11000000000010001011000000000010
+10111011000000000010111011000000
+00001011101100000000001011101100
+00001000101110110000000000101110
+11000000000010111011000000000010
11101100000000001011101100000000
00101110110000000000101110110000
00000010111000000000000000010000
00000000000000000000000000000000
10000000000001010010110000000000
-10111011000000000010111010000000
-00001011101100000000001000101100
-00000000101110010100000000101110
-11000000000010001011000000000010
-11101100000000001011101100000000
-00101110110000000000101110110000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+10101100000000001011101100000000
+00101110110000000001101110110000
00000010111000000000000001000000
00000000000000000000000000000000
00001000000001000000110000000000
-10110011000000000010110010000000
-00001011001100000000001000001100
-00000000101100000000000000101100
-11000000000010000011000000000010
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
11001100000000001011001100000000
00101100110000000000101100110000
00000010110000100000000100000000
00000000000000000000000000000000
00000000000011010110110000000000
-11111011000000000011111001000001
-00001111111100000000101100111100
-00000000111110000000000000111111
-11000000001011001011000000000011
-11101100000000001111101100000000
+11111011000000000011111011000000
+00001111111100000000001111101100
+00000100111110110000000000111110
+11000000000011111011000000000011
+10101100000000001111101100000000
00111110110000000000111110110000
00000011111000000000001101010000
00000000000000000000000000000000
-10100000000111011111110000000000
-11111111000000000011111100000000
+10100000000011011111110000000000
+11111111000000000011111111000000
00001111111100000000001111111100
-00000000101111000000000000111111
+00000000111111110000000000111111
11000000000011111111000000000011
11111100000000001111111100000000
00111111110000000000111111110000
-00000011111010000000011001110000
-00000000000000000000000000000000
-11000000000101011111111000000000
-11111111001000000011110100100001
-00001111110010010000001111110010
-00010000111111111000000000111111
-11100000000011111111100000000011
-11111110000000001111111110000000
-00111111111000000100111111111001
-00000011111100000000000001110000
+00010011111010000000011001110000
+00000000000000000000000000000000
+11000000000001011111100000000000
+11110100100000000011000100100001
+00001100110011000000001100110010
+00010000110011000100000000111111
+11100100000011111101000000000011
+00110100000000001111111000000000
+00110011111000000000111111101001
+00000011001100000000000001110000
00000000000000000000000000000000
-10000000000110001110111000010000
-10111111110100000010111001100000
-00001011100000000000001011100110
-00000000101110111000000000111010
-11100001000010111011100000000010
-11101110000000001011101110000000
-00101110111000000000101110110010
-00000010111000000000010000110000
+10000000000100001110100000010000
+10111011100000000010001011100000
+00001000100100000000001000100010
+00000000100010000111000000111010
+11000000000010111011100000000010
+00100010000000001011100110000000
+10100010111000000000101110100010
+00000010001000000000010000110000
00000000000000000000000000000000
-10001000000001011100110000000000
-10110011000000000010110010000000
-00001011000000000000001011000000
-00000000101100110000000000101100
-11000000000010110011000000000010
-11001100000000001011001100000000
-00101100110000000000101100110010
-00000010111000100000000101110000
+10001000000001011100100000000001
+10111000000001110010001000000000
+10011000000000100000001000100100
+00000000101000000000000100101100
+11001000000110110001000000000110
+00000100000001001011001000000000
+00100000110000000000101100100000
+00000010001000100000000101110000
00000000000000000000000000000000
-11000000000001011010110000000001
-10111011000000000010111010000000
-00001011101100100000001011100100
-01000000101110110000000000101110
-11000000000010111011000000000010
-11101100000000001011101100000000
-00101110110000000000101110110000
-00000010111100000000010001100000
+11000000000101011010100000010001
+10111011000001000010001000000000
+01001000000000010000001000100100
+00000000101010000000000000101110
+11000000000110111001000000001010
+00100010001000001011101000001000
+00100010110000000000101110100000
+00000010001100000000010001100000
00000000000000000000000000000000
-00000000000101011110110000000000
-11111011000000000011111000100000
-00001111100000000000001111100000
-00000000111110110000000000111110
-11000000000011111011000000100111
-11101100000000001111101100000000
-00111110110000000000111110110000
-00000011110100000000010001110000
+00000000000100011110111100100000
+11111000110001000011001000000000
+00001100100000100000101100101000
+00000010111010111010000000111110
+11000000010011111000000000000011
+00100011000000001111101011010000
+00110010110000000000111110100000
+00001011000100000000010001110000
00000000000000000000000000000000
-11100000000000011011110000000000
-11111011000000100011111101010000
-00001111110000000010001111111110
-00000000111111110000000000111011
-11000000000011111111000000000011
-11111100000000001111111100000010
-00111111110000000000111111110000
+11100000000000011011101001000000
+11111111010000100011111111000000
+00001111110100000010001111111000
+00000000110111101001000000111011
+11000000000011111111101000000011
+11111000000000001111100100000000
+00111111110000000000111111100000
00000011111110000000000001100000
00000000000000000000000000000000
-01000000000100001010110000000000
-11111011001000000011011011000000
-00001101100000000000001101100000
-00000000111110110000000000111110
-11000000000011111011000000000011
-11101100000000001111101100000000
-00111110110000000000111110110000
-00000011110100000000010000100000
+01000000000100001010010000000010
+11001000001000000011011011001000
+00001100101000000001001100101101
+00001000111110010000000000111110
+11000000010011110000000100001011
+00100101000000001111101001100000
+00110010110000000000111110100000
+00000011000100000000010000100000
00000000000000000000000000000000
-11001000000001010010110000000000
-10111111000000100010001011100010
-00001000101100000000001000101100
-00000000101110110000000000101110
-11000000000010111011000000000010
-11101100000000001011101100000000
-00101110110000000000101110110010
-00000010111100100000000001000000
+11001000000001010010100110000000
+10000011010000000010000011010000
+00001000101000000000001101001111
+01000000001110001010000000101110
+11000000000010111101000000000010
+00101000000000001011001001000000
+00100010110000000000101110101000
+10001010001100100000000001000000
00000000000000000000000000000000
-11100000000001010100110000000000
-10110011000000000010010000100000
-00001001001100000000001001001000
-00000000101100110000000000101100
-11000000000110110011000000000010
-11001100000000001011001100000000
-00101100110000000000101100110000
-00000010111110000000000001010000
+11100000000001010100111100101000
+10010000000000000010010000000000
+00001001001000000000001000000000
+00000000101100001110000000101100
+11100000000010110011100000000010
+01000101100000001011000001000000
+00100000110000000000101110100000
+00000010001110000000000001010000
00000000000000000000000000000000
-01100000000000010001111000000000
-10110111100000000010001101100001
-00001000011110000000001000011110
-00000000101101111000000001101101
-11100000100010110111100000000010
-11011110000000001011011110000000
-00101101111000000000101101111000
-00000010110010000000000001000000
+01100000010000010000111001000001
+10011100100000000010001111100000
+00001001111110000000001001010110
+00001001101101011000000000101101
+11100010000010110011100000000010
+01010110010000001011010110000000
+00100001111000000000101101101001
+00000010000010000000000001000000
00000000000000000000000000000000
-01001000000010000000110000000001
-11110011000000000011010000000000
-00001101100000000000001101001000
-00000000111100110000000000111100
-11000000000011110011000000000011
-11001100000000001111001100000000
-00111100110000000000111100110000
-01000011110100100000001000000000
+01001000000010000000010001000000
+11010011000100000011010000000100
+00001101000100000000001100000001
+00100000111100100000000000111100
+11000000010011110001000000001011
+01001100010000001111000000000000
+10110000110000000100111110100000
+01000011000100100000001000000000
00000000000000000000000000000000
-01000000000111011011110000000101
-11111111000010100011111100000000
-00001111111100010010001111111100
-00000000111111110000000000111111
-11000000000011111111000000000011
-11111100000000001111111100000000
-00111111110000000000111111110000
+01000000000111011011110001000000
+11101111000000000011111100000100
+10101110010100010010001111110101
+00000000111111110001000000111111
+11000000100011111101000000000011
+10111100010000001111110100010000
+00111111110000000000111111100000
00000011110100000000011001100000
00000000000000000000000000000000
-10101000000001011110110000000000
-11111011011000000011111010000000
-10000111101100000010001100100001
-00100000111110110000000000111110
-11000000000011111011000001000011
-11101100000000001111101100000000
-00111110110000000000111110110000
-00000011111010100000000001110000
+10101000000001011110110010001000
+11001000000000000011111000000000
+00001111101000000001001100101001
+10010000111110110000000000110010
+11000000000011111010000000000011
+00100100000000001100111000000000
+00110010110000000000111100100000
+00001011001010100000000001110000
00000000000000000000000000000000
-01001000000100010001110000000000
-10110111001010000010110111000000
-00001011011100000000001000011100
-00000000101101110000000000101101
-11000000000010110111000000000010
-11011100000000001011011100000000
-00101101110000000000101101110000
-00000010110100100000010001100000
+01001000000100011001100001000000
+10000100000000000010110111000000
+10001011011100000000001000011100
+00000000101100110000000000100001
+11000000000010110111001000000010
+00011100000000001000000100000000
+00100001110000000000101101100000
+00000010000100100000010001100000
00000000000000000000000000000000
-11000001000000001001111000000000
-10110111100000100010110111100000
-00011011010010000000001000010010
-00000000101101111000000000101101
-11100000000010110111100000000010
-11011110000000001011011110000000
-00101101111000000000101101111000
-00000010111100000000000000100000
+11000001010000001001011000001000
+10000111100000000010110111110000
+00001011011110000001001000011010
+00000001101101111000000000100101
+11100000000010110000100101000010
+00000110000000011000011010000000
+00100001111000000000101111101000
+00000010001100000000000000100000
00000000000000000000000000000000
-01001000000101001100110000000000
-10110011000000000010110011001000
-00001011001100000000101000001100
-00000000101100110000000000101100
-11000000000010110011000000000010
-11001100000000001011001100000000
-00101100110000000000101100110000
-00000010110100100000010000110000
+01001000100101001100100100000000
+10000011000000000010110011100010
+00001011001100010000001000001110
+00000000101100111100000000100100
+11000000000010110001001000001010
+00001101000000001010001110010000
+00100000110000000000101100100000
+00000010000100100000010000110000
00000000000000000000000000000000
-11101000100101011010100000000000
-11111010000000000011110110110010
-01001111111000000000001100001000
-10000000111110100000000000111110
-10000000000011111010000000000011
-11101000000011001111101000000010
-00111110100000000000011110100000
-00000011111110100000010001100000
+11101000000101011010101000100010
+11001110000000000011111110010000
+00001111111010000010001100101010
+10000100011111101000010010110110
+10000000000011111110010000000011
+00111001100001101100111000000010
+10010010100000000000111111100000
+00000011001110100000010001100000
00000000000000000000000000000000
-01001000000000001110000000010000
-11111000000000000011111000000000
-00001111100000000000001111100000
-00000000111110000000000000111110
-00000000010011111000000000000011
-11100000000000000111100000000000
-00111110000000000000111110000000
+01001000000000001100000000000000
+11111000000000000010111000000000
+00001111100000000000101111100000
+00001000111110000001100000111010
+00000000010011110000000000100011
+11100000100000001101100001000000
+10111110000000000000111110000000
00000011110100100000000000110000
00000000000000000000000000000000
-00001000000100001110010000000000
-11111001010000000011001001000000
-00001100100100000010001100101100
-00000000110010010000000000111110
+00001000000100001110110000100000
+11001001000000000011111001000000
+01001110100100000000001100101100
+00000000111100010000000000110010
01000000000011111001000000000011
-11100100000000001111100100000000
-00111110010000000000111110010000
-00000011000000100000010000110000
-00000000000000000000000000000000
-10000000000001000110010000000000
-10110001110000000010001001000000
-00001000000100000000001000100101
-00000000100010010000000000101110
-01000000000010111001000000000010
-11100100000000001011100100000000
-00101110010000000000101110010000
-00000010001000000000000000010000
+00000100010000001100000110000000
+00110010010000000000111110011000
+00000010000000100000010000110000
00000000000000000000000000000000
-00011000000001010010010000000000
-10111001000000011010101001000100
-00001000101100000000001000100101
-00010000100010010000000000101110
-01000000000010111001000000000010
-11100100000000001011100100000000
-00101110010000000000101100010000
-00000010000001100000000001000000
-00000000000000000000000000000000
-00001000000001000000010000000000
-10110011001010000010101001000000
-00101000100100000010001000100100
-00000000000000010000000000101100
-01000000000010110001000000000010
-11000100000000001011000100000000
-00101100010000000000101100010000
-00001010000000100000000100000000
+10000000000001000110010100000010
+10001001000000000010111001000000
+00001011000100000000001000100100
+00000000101110010000000000100010
+01010000000010111001000000000011
+11100111000000001000100111100000
+00100010010000000000101110011000
+00001010001000000000000000010000
+00000000000000000000000000000000
+00011000000001010010010110001000
+10001011011000000010111001000000
+00001011100100000000101000100100
+00000000101110010000000000100010
+01010000010010111001000000001010
+00100101000000001000100100010000
+00100010010000000000101100010010
+00000010100001100000000001000000
00000000000000000000000000000000
-10111000000011010110000000000000
-11111000001000000011101000000000
-00001100000000000000001100100000
-00000010110010000000000000111110
-00000000000011111000000000000011
-11100000000000001111100000000000
-00111110000000000000111110000101
-00000011001011100000001101010000
+00001000010001000000010010100000
+10000001000000000010110001000001
+00001011100100000000001000000100
+00000001101100010010000011100000
+01000000000010110001001000001010
+11000100100000001000000100100000
+00100000010000000000101100010000
+00000010100000100000000100000000
+00000000000000000000000000000000
+10111000000011010100000010000000
+11001000000000000010111000000000
+00001110000000000000001100100000
+00000000111110000101000000110010
+00010100000011111000000000001011
+00100000000000001100100000000000
+10110010000000001000111110000101
+00000011101011100000001101010000
00000000000000000000000000000000
-10011000000111011110010000000000
-11111001000000000011011111000000
-01001111110100101000101111100100
-00000000111110010000000000111110
-01000000000011111001000000000011
-11100100000000001111100100000000
+10011000000111011110010010100000
+11111101000000000011111101000000
+00001111110100101000001111100100
+00000000111111010001000000111110
+01000000000011111101000100000011
+11111100010000101111110100010000
00111110010000000000111110010000
-00000011111001100000011001110000
+00000011011001100000011001110000
00000000000000000000000000000000
-10011000000001011010010000000000
-11111001000000010011111001000000
-00001111100100000000001100100100
-00000000111110010000000000111110
-01000000000011111001000000000011
-11100100000000001111100100000000
-00111110010000000000111111010100
+10011000000101011010011000100000
+11001001000000010011011001000001
+00001111100100000000001111000100
+00000000111111010000010001110011
+01000000010011111101000010000011
+00110100100000011101110100001000
+00110010010000000000111111010000
00000011000001100000000001110000
00000000000000000000000000000000
-00111001000100001110000000000000
-10111000000101000010111000000000
-00001011000000100000001101100000
-00000000101110000000000000101110
-00000000000010111000000000000010
-11100000000000001011100000000000
-00101110000000000000101100000000
-00000010000011100000010000110000
-00000000000000000000000000000000
-00001001000001001100010000000000
-10110001000000000010110001000000
-01001011000100101000011000000100
-00010000101100010000000000101100
-01000001100010110001000000000010
-11000100000000001011000100000000
-00101100010000000000101100010010
+00111001000100001110001000000010
+11011000000000000010111000000000
+10001011000000100000001011100000
+00000000101100000100100000100010
+00000000000010111000010000000010
+00100000000100101000100001000000
+00100010000000000000101100000000
+10001010000011100000010000110000
+00000000000000000000000000000000
+00001001000001001100010000100000
+10000001000000000010010001000000
+00001011000100101000001011000100
+00000000101100010011000000100100
+01001011000010110001000000000010
+00000100010000001010000100000000
+10100000010000000000101100010010
00000010000000100000000101110000
00000000000000000000000000000000
-00011001100101011010010000000001
-10111001000000000010111001000000
-00001011100100000000001001100100
-00000001101110010000000000101110
+00011000000101011000010001000000
+10011001010000000110111001000000
+00001011100100000000001011100100
+00100000101110010000000000100010
01000000000010111001000000000010
-11100100000000001011100100000000
-00101110010000000000101110010000
+00100100100000001010100100100000
+00100010010000000000101110010000
00000010000001100000010001100000
00000000000000000000000000000000
-10100010000101001010010000000000
-11111001000000000011111001010000
-10001111100100100000001100100100
-00000100111110010000000000111110
-01000000000011111001000000000011
-11100100000000001111100100000000
-00111110010000000000111110010000
-00001011001010000000010001110000
+10100010000001001010011000000000
+11001001010000000011011001001000
+00001111100100100000001111100100
+00000000011110010001000011110010
+01000000010011111001000000001011
+00100101000000001111000110100000
+10110010010000000000111110010000
+00000011001010000000010001110000
00000000000000000000000000000000
-00101000000000001010010000001000
-11111011000000000011111011100100
+00101000000000001010110000010100
+11111011100101000011111011101000
00001111100110100000001111101100
-00000000111110010000000001111110
-01000000000011111001000000000111
-11100100000000001111100100000000
-01111110010000000000111110010000
+00010000111110011000001001111110
+01000000000011111001001000010011
+11000100001000001101100110000000
+00111110010000000000111110010000
00000011110010100000000001100000
00000000000000000000000000000000
-00101000000100001010000000000000
-11111000000000000011011000010000
-00001111100001000000001101100000
-01000000111110000000000000111110
-00000000000011111000000000000011
-11100000000000001111100000000000
-00111110000000000000111110000000
+00101000000000001010000000000000
+11001000010000000011101000011000
+00001111100001000010001100100000
+00000010110010000000100000110010
+00000000010011000000000000000011
+00100000010000001111100000000000
+00110010000000000000111110000000
00000011000010100000010000100000
00000000000000000000000000000000
-10100000000001000010100000000000
-10111010000000000010001010101000
-00001011101000000010001000101011
-00000000101110100000000000101110
-10000000000010111010000000010010
-11101000000000001011101000000001
-00101110100000000000101110100000
-00000010000010100000000001000000
+10100010000001000010101000000000
+10001010000000100000111010010000
+00001011101000000000001000101010
+00000000100011100000001000100011
+10100010000010001010101000001010
+00111010000000000011111001000000
+10100010100000000000101111100000
+00001010000010100000000001000000
00000000000000000000000000000000
-00101000000001010100110000000000
-10110011000000000010010011000000
-00001011101100000000001001001111
-10000000101100110000000000101100
-11000000000010110011000000000010
-11001100000000001011001100000000
-00101100110000000000101110111000
+00101000000001010100111000100000
+10010011000000010010100011000000
+00011011001100000000001000001110
+00000001101010111000000000100100
+11100000000010000011000000000010
+00000110000000011011001011100000
+00100000110000000000101110110000
00000010000010100000000001010000
00000000000000000000000000000000
-01100001000000010001110000001000
-10110110100000000010000111000000
-00001011011100000000001001011100
-00000000101101110000000000101101
-11000000000010110111000000000010
-11011100000000001011011100000000
-00101101110000000000101101010000
-10000010001010000000000001000000
+01100001000000010001110000100000
+10010110000000000010110110000000
+00001011011110110000101000010010
+00100000101001100100000000100001
+11100000000010000101000000100010
+00010100000000001011011000001000
+00100001110000000000101101010000
+00000010001010000000000001000000
00000000000000000000000000000000
-00101000000010000001111000010100
-11110001100001000011010101100010
-00001111011110100000001101011110
-00000000111101111000000000111101
-11100000000011110111100000000011
-11011110000000001111011110000000
-00111101111000000000111101111000
+00101000000010000000101000000010
+11010101100000100011100111100000
+01011111011110100000001100011110
+01000000111001111000000010110111
+11100000001011000111100000000011
+00010010000000001111001010000000
+10110001111000000000111101011000
00001011001010100000001000000000
00000000000000000000000000000000
-01001000000111011010110000000100
-11111000011001110011111011000000
-10001111101100000000001110101101
-00000000111110110000000000111110
-11000000000011111011000000000011
-11101100000000001111101100000000
-00111110110000000000111110010110
+01001000000111011010100110100100
+11101000000000000011111010001000
+10001111101100001010001111100001
+00000000110110100000000000111110
+11000000000011110001000000001011
+11100000000000001111101100000000
+00111110110000000000111110110110
10000011110000100000011001100000
00000000000000000000000000000000
-11000000000001011111111001000000
-11111111110100000011011111100100
-00001111111111001000001111110011
-01000000111111111000000000111111
+11000000000101011111011000000000
+11001111110100000011111111110000
+00001111111110000000001111111110
+00000000111111011000000000111111
11100000000011111111100000000011
-11111110000000001111111110000000
-00111111111000000000111111011000
+00110110000000001100111110000000
+00110011111000000000111111111000
00000011000000000000000001110000
00000000000000000000000000000000
-10101000000000011001110001000000
-10110110011100000011010101000000
+10101000000010011001010010000000
+11010110010000100000110110000000
00001110011100100000001011010000
-00000000101101110000000000101101
-11000000000010110111000000000010
-11011100000000001011011100000000
-00101101110000000000101101110000
+10000000101101100010000000101101
+01000000000010110101000000000010
+10010100000000001000011100001000
+00100001110000000000101101010000
00000010001010100000010001100000
00000000000000000000000000000000
00011001010000001001110000000100
-10110101000000000010000101010000
-00011011011100000001001011010101
-00000001101101110000000000101101
-11000000000010110111000000000010
-11011100000000001011011100000000
-00101101110000000000101101011000
+10000101010000000010110111010000
+00001011011100000001001011011100
+00000001101101010000000001101101
+11000000000010111111000000000010
+00010100000000001001001100000000
+00100001110000000000101101011000
00000010000000000000000000100000
00000000000000000000000000000000
-01100010000101001100110000000000
-10110000000000000010010001000000
-00000010001101000000011011000100
-00000000101100110000000000101100
-11000000000010110011000000000010
-11001100000000001011001100000000
-00101100110000000000101110110000
+01100010000001001100000001000001
+10010000000000000010110010100000
+00001010101101100000001011000001
+00000000101100100000010000101100
+01000000000010110001000010000010
+10000000000010001001001110001000
+00100000110000000000101100110000
00000010000010000000010000110000
00000000000000000000000000000000
-11111000000101011010110000000000
-11111011000000000011001010010000
-00000111011101000001001111101100
+11111000000101011010100000000010
+11001011100000000011111001111000
+00001111111101000001001111101100
00010000111110110000000000111110
-11000000100011111011000000000011
-11101100000000001111101100000000
-00111110110000000000111110110000
+01000000000011111011101000000011
+00000010000000001101101100000000
+10110010110000000000111110110000
00000011001010100000010001100000
00000000000000000000000000000000
-10000000000000001110110000000000
-11110011000001000011111010000001
-00001111101100010000001111101110
-00001000111110110000000000111110
-11000000000001111011000000000011
-11101100000000001111101100000000
+10000000000000001100100100100000
+11111011110000000011111001010000
+00001111101100000010001111101000
+00000000101110010000000000111110
+11000000000011111001000000000011
+11100011100000001110101100000000
00111110110000000000111110010000
00000011111000000000000000110000
00000000000000000000000000000000
11000001000100001111110000000000
-11111000000000000011001100000000
-00001111111100001000001100111000
-00000000110011110000000001111111
-11000000000011111111000000000011
-11111100000000001111111100000000
-00111111110000000000111111110000
+11001100000000000011101101100000
+00001111111100000000001100111110
+00100000111111110100100001111111
+00000100000011000111100100000011
+00100010000000001100011100000000
+00110011110000000000111111010000
00000011000000000100010000110000
00000000000000000000000000000000
-10000001000001000110110000000000
-10111000010001000010101010010001
-00001011101100000000001000001001
-00000000110010110000000001111110
-11000000000010111011000000000010
-11101100000000001011101100000000
-00101110110000000000101110010000
+10000001000001000110110100000100
+10101000000000000010011001000001
+00001011101100000010001000101010
+00000000111010011110000001111110
+10011000010010001001100000001010
+00100010100000001000101111000000
+10100010110000000000101110110000
00000011001000000100000000010000
00000000000000000000000000000000
-10000000000000010110110000000100
-10111011000000010010001010000010
-00001011101100000000001000100000
-00100000100010110000010000101110
-11000000000010111011000000000010
-11101100000000001011101100000000
-00101110110000000000101110010000
+10000000000000010110010000110000
+10001011000000100010111000010100
+01001011101100000000001000100100
+01000100101110000000000000101100
+01000000000010001001000000001010
+00100000010000101000100111000000
+00100010110000000000101100110000
00000010001000000000000001000000
00000000000000000000000000000000
-00001000010000000000110000000000
-10110011000000000010100000000001
-01001011101100000000101000000000
-00000000100000110000000000101000
-11000000000010110011000000000010
-11001100000000001011001100000000
-00101100110000000000101100110000
+00001000010001000000010000000000
+10100011000000000010110000000001
+00001011001100000000101000000000
+00000001101000000000000000101000
+01000000001010000001000000000010
+00000000000000001000000100000000
+00100000110000000000101100010000
00000010000000100000000100000000
00000000000000000000000000000000
-10000000000000000110110000000000
-11111000000000000011001000000000
-00001111111100000001001100100000
-00000010110010110000000000101110
-11000000000011111011000000000011
-11101100000000001111101100000000
-00111110110000000000111101010000
+10000000000000000100110000000000
+11001000000001000011101000000000
+01001111111100000000101100100100
+00001000111110000000000000101110
+00000000000011001001000000100011
+00101000000000001100101100000000
+00110010110000000000111111010000
00000011000000000000001101010000
00000000000000000000000000000000
-10100000010110011111110000000000
-11111100000000000011111100000000
+10100000010110001111000000000000
+11111100000000000011011100000000
00001111111100000010001111110000
-00000000111011110000000000111111
-11000000000011111111000000000011
-11111100000000001111111100000000
-00111111110000000000111111110000
+00000000111011000000010000111111
+00000000000011110101000000000011
+11111000000000001111111100000000
+00111111110000000000111101110000
00000011101010000000011001110000
00000000000000000000000000000000
00000000110001010100000100000011
01110000010000001101110001010000
00010111000001000000110111000101
-00000000011100010100000011011100
+00000001011100010100000011011100
00010000001101110000010000001101
11000111000000110111000101000000
11011100000100000011011100000100
00001101110000000011000100000000
00000000000000000000000000000000
00000000110001010100010000000101
-01110001000000010101110001000000
+01110001000000010101110001100000
01110111000100000001010111000100
00000101011100011000000101011100
01000000010101110001000000010101
@@ -7890,57 +7890,57 @@ Bits: 336768
00010101110000000011000101010000
00000000000000000000000000000000
00000000100000000000001000000001
-00100000100000000100100001100000
-00010010000010000000010010000110
-00000001001000000000000001001000
+00100000100000000100100000100000
+00010010000010000000010010000010
+00000001001000001000000001001000
00100000000100100000100000000100
10000110000000010010000110000000
01001000001000000001001000001000
00000100100000000010000000000000
00000000000000000000000000000000
00000000100000000000000000000001
-01100000000000000101100000000000
-00010110000000000000010110000000
-00000101011000000000000000011000
+01100000000000000101100001000000
+00010110000000000000010110000100
+00000101011000010000000001011000
00000000000101100000000000000101
10000000000000010110000000000000
01011000000000000001011000000000
00000101100000000010000000000000
00000000000000000000000000000000
-00000000110001010100110000000101
-01110010000000010001110010010000
-01010111001000000001000111001000
-00000101011100100000000101011100
+00000000110001010100100000000101
+01110010000000010101110011000000
+01010111001000000001010111001110
+00000100011100100000000101011100
10000000010101110010000000010101
-11001000000001010111001110000001
+11001000000001010111001010000001
01011100100000000101011100100000
00010101110000000011000101010000
00000000000000000000000000000000
00000000110001010100000000000000
01100000000000000001100000000000
-00000110000000000000000110000010
+00000110000000000000000110000000
00000000011000000000000000011000
00000000000001100001000000000001
10000000000000000110000001000000
-00011000000000000000011000000000
+00011000000100000000011000000000
00000001100000000011000100000000
00000000000000000000000000000000
-00000000110001010100110000000100
-00100010000000010000100000100000
-01000010001000000001000010000001
+00000000110001010100100100000100
+00100011000000010000100001000000
+01000010001000000001000010000000
00000100001000100000000100001000
-10000000010000100011000000010000
+10000000010000100010000000010000
10001000000001000010001100000001
00001000100000000100001000110000
00010000100000000011000100000000
00000000000000000000000000000000
-00000000010001010100101100000101
-01000011100000010101000001110000
+00000000010001010100100100000101
+01000010100000010101000000110000
00010100001010000001010100000110
00000001010000101000000101010000
-10100000010101000010100000010101
+10100000010101000011100000010101
00001010000001010100001010000001
-01010000101100000101010000101100
+01010000101000000101010000101100
00010101000000000011000101010000
00000000000000000000000000000000
00000000100000000000110000000001
@@ -7948,7 +7948,7 @@ Bits: 336768
00010001011100000000010101001100
00000001010100110000000001010101
11000000000101010111000000000101
-01001100000000010101011100000000
+01001100000000010101011110000000
01000000110000000001000100111000
00000100010000000010000000000000
00000000000000000000000000000000
@@ -7979,25 +7979,25 @@ Bits: 336768
01001000000000000101011000000000
00010101100000000011000100000000
00000000000000000000000000000000
-00000000100001010100000000000011
-01100000000000000101100000000000
+00000000110001010100000000000011
+01100000000000001101100000000000
00010110000000000000110110001000
00000001011000000000000011011000
00000000001101100000000000001101
-10000000000000110110000000000001
+10000000000000110110000000000000
11011000000000000011011000000000
00001101100000000011000100000000
00000000000000000000000000000000
00000000110001010100001000000100
-00110000100000000000110000100000
+00110000100000010000110000100000
01100011000010000001000011000011
00000100001100001000000100001100
00100000010000110000100000010000
11000010000001000011000010000001
-10001100001000000100001100001000
+00001100001000000100001100001000
00010000110000000011000101010000
00000000000000000000000000000000
-00000000100000010000000000000000
+00000000100000000000000000000000
00110000000000000000110010000000
00000011000000000000000011000000
00000000001100000000000000001100
@@ -8006,7 +8006,7 @@ Bits: 336768
00001100000000000000001100000000
00000000110000000010000000000000
00000000000000000000000000000000
-00000000100000000000001000000001
+00000000100000010000001000000001
00110000100000000100110010110000
00010011000010000000010011000010
00000101001100001000000001001100
@@ -8024,7 +8024,7 @@ Bits: 336768
01011000001000000101011000001000
00010101100000000011000101010000
00000000000000000000000000000000
-00000000110001010100001000000000
+00000000100001010100001000000000
00100000100000000000100000100000
00000110000010000000000010000010
00000000001100001000000000001000
@@ -8047,30 +8047,30 @@ Bits: 336768
00010001100000000001010101100000
00000001000110000000000101010110
00000000010101011000000000010101
-01100000000001010101100000000000
+01100000000001010101100000000001
01010110000000000101010110000000
00010101010000000011000101010000
00000000000000000000000000000000
-00000000000000000000011000000001
-01000001100000000101000001100000
-00010100000110000000000100000110
-00000001010000011000000000010000
+00000000000000000000011000000000
+01000001100000000001000001100000
+00010100000110000000010100000110
+00000001010000011000000001010000
01100000000101000001100000000101
00000110000000010100000110000000
-01010000011000000001010000011000
+00010000011000000001010000011000
00000101000000000010000000000000
00000000000000000000000000000000
00000000100001000001001000000001
-00000100100000010100000100100000
+00000100100000000100000100100000
01010000000010000000010000010010
-00010001000000001000000001000000
+00000001000000001000000001000000
00100000000100000100100000000100
00000010000000010000010010000000
01000001001000000001000001001000
00000100000000000010000000000000
00000000000000000000000000000000
00000000110001010100011000000011
-01010001100000000101010001100000
+01010001100000001101010001100000
00110101000110000000110101000110
00000011010100011000000011010100
01100000001101010001100000001101
@@ -8080,7 +8080,7 @@ Bits: 336768
00000000000000000000000000000000
00000000110001010100011000000101
01110001100000010101110001100000
-01010111000110000000000111000110
+01010111000110000001010111000110
00000111011100011000000101011100
01100000010101110001100000010101
11000110000001010111000110000001
@@ -8089,16 +8089,16 @@ Bits: 336768
00000000000000000000000000000000
00000000010001010100011000000011
01110001100000001101110001100000
-00010111000110000000000111000110
-00000001011000011000000010011100
+00010111000110000000110111000110
+00000001011100011000000011011100
01100000001101110001100000001101
-11000110000000110111000110000100
+11000110000000110111000110000000
11011100011000000011011100011000
00001101110000000001000100000000
00000000000000000000000000000000
-00000000010001010100011000000101
+00000000010001010100011000000100
01110001100000010101110001100000
-01110011000110000000010111000110
+01100011000110000001010111000110
00000100001100011000000101011100
01100000010101110001100000010101
11000110000001010111000110000001
@@ -8108,15 +8108,15 @@ Bits: 336768
00000000000000000000001000000001
00100000100000000100100000100000
00000010000010000000010010000010
-00000001001100001000000001001000
+00000001011100001000000001001000
00100000000100100000100000000100
10000010000000010010000010000000
01001000001000000001001000001000
00000100100000000000000000000000
00000000000000000000000000000000
00000000000000000000011000000001
-01100001100000000101100001100000
-00010110000110000000000100000110
+00100001100000000101100001100000
+00010010000110000000010110000110
00000100011000011000000001011000
01100000000101100001100000000101
10000110000000010110000110000000
@@ -8125,10 +8125,10 @@ Bits: 336768
00000000000000000000000000000000
00000000010001010100000000000101
01110000000000010101110000000000
-01010111000000000001000111000000
+01010111000000000001010111000000
00000101011100000000000101011100
00000000010101110000000000010100
-11000000000001000111000000000001
+11000000000001010111000000000001
01001100000000000101011100000000
00010101110000000001000101010000
00000000000000000000000000000000
@@ -8152,9 +8152,9 @@ Bits: 336768
00000000000000000000000000000000
00000000010001010100001000000101
01000000100000010101000000100000
-00010100000010000001000100000010
+00010100000010000001010100000010
00000001010000001000000101010000
-00100000010001000000100000010101
+00100000010101000000100000010101
01000010000001010100000010000001
01010100001000000101010000001000
00010101000000000001000101010000
@@ -8179,7 +8179,7 @@ Bits: 336768
00000000000000000000000000000000
00000000010001010100001000000010
00000000100000001000000000100000
-00100000000010000000000000000010
+00100000000010000000100000000010
00000010000000001000000010000000
00100000001000000010100000001000
00000010000000100000000010000000
@@ -8188,7 +8188,7 @@ Bits: 336768
00000000000000000000000000000000
00000000010001010100000000000101
01100000000000010101100000000000
-01010110000000000001110110000000
+01010110000000000001010110000000
00000111011000000000000101011000
00000000010101100000000000010101
10000000000001010110000000000001
@@ -8199,7 +8199,7 @@ Bits: 336768
01100000000000001101100000000000
00010010000000000000110110000000
00000001011100000000000011011000
-00000000001101100000000000011101
+00000000001101100000000000001101
10000000000000110110000000000000
11011000000000000011011000000000
00001101100000000000000000000000
@@ -8208,14 +8208,14 @@ Bits: 336768
00110000000000010000110000010000
01100010000000000000000011000000
00000100011000000000000100001100
-00000000010000110000000000011000
+00000000010000110000000000010000
11000000000001000011000000000000
-00001100000100000100001100000100
+00001100000000000100001100000100
00010000110000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00110000000000000000110101000000
-00000010000100000000000011010000
+00000010000110000000000011010000
00000000001001000000000000001100
01000000000000110001001000000000
11010000000000000011010100000000
@@ -8224,19 +8224,19 @@ Bits: 336768
00000000000000000000000000000000
00000000000000000000010100000001
00110001010000000100110001010000
-00010010000111000000010011000101
+00010010000101000000010011000101
00000101001100010100000001001100
-01110000000100110001011000000100
+01010000000100110001011000000100
11000101000000010011000100000000
-01001100010100000001001100010100
+01001100010000000001001100010100
00000100110000000000000000000000
00000000000000000000000000000000
00000000000000000010001100000101
-01101000110000010001101001110000
-01010110100001000001010110100011
+01101000110000010101101001110000
+01010110100111000001010110100011
00000101011010001100000101011010
-00010000010101101001111000010101
-10100011000001010110100111000001
+00110000010101101001111000010101
+10100011000001010110100011000001
01011010001100000101011010001100
00010101100000000000000000000000
00000000000000000000000000000000
@@ -8244,8 +8244,8 @@ Bits: 336768
00100000000000000000100100000000
00000010000000000000000010010000
00000000001001000000000000001000
-00000000000000100010010000000000
-10010000000000000010010000000000
+01000000000000100010000000000000
+10010000000000000010010101000000
00001000000000000000001000000000
00000000100000000000000000000000
00000000000000000000000000000000
@@ -8253,33 +8253,33 @@ Bits: 336768
01100010000100010001100010000000
01000110001000000001000110001000
01000100011000100001000100011000
-10000000010001100000000100010001
+10000000010001100001000100010001
10001000010001000110000000011001
00011000100001000100011000100001
00010001100000000000000000000000
00000000000000000000000000000000
00000000000000000000000001000101
-01010000000000010001010001000100
-00010101000000010001000101000000
-00000001010100000001000100010100
-00000100010101010000000000000101
-01000000010001010101000001010101
+01010000000000010101010000010100
+00010101000000010101010101000000
+00000001010100000001000101010100
+00010100010101010000000000010001
+01000000010001010101000000010101
01010100000001000101010100000001
00010101010000000000000000000000
00000000000000000000000000000000
-00000000000000000000100000100001
+00000000000000000000100000100000
01000010000010000101000010001010
-00010100001000001000000100001000
-00100001010000100000000001010000
+00010100001000001000010100001000
+10100001010000100000000001010000
10000010000101000010000010000101
-01001000000000000100001000000000
+01001000000000010100001000000000
01010100100000100001010000100000
10000101000000000000000000000000
00000000000000000000000000000000
00000000000000000000101010000001
00000010100000000100000010100100
01010000001010000000010000001010
-01100001000000101000000001000000
+00000001000000101000000001000000
10100000000100000010100000000000
00001010000000010000001010000000
00000000101000000001000000101000
@@ -8288,16 +8288,16 @@ Bits: 336768
00000000000000000000110010000011
01010011000000001101010011001000
00110101001100000000010101001100
-01000011010100110000000011010100
+00000011010100110000000011010100
11000000001101010011000000001000
01001100000000110101001100001000
00000100110000000011010100110010
00001101010000000000000000000000
00000000000000000000000000000000
00000000000000000000100000100101
-01110010000000000101110010001000
-01010111001000000001010111001000
-00000111011100100000000101011100
+01110010000000010101110010001000
+01010111001000000001000111001000
+00000111011100100000000100011100
10000000010101110010000000010101
11001000000001010111001000000001
01011100100000000101011100100000
@@ -8357,53 +8357,53 @@ Bits: 336768
10000011101100011110010011101100
01111001000000000000000000000000
00000000000000000000000000000000
-00000000000000000000000100000110
+00000000000000000000000100000010
01110000010000001001110001010000
-00100111000001000000100111000111
-00000001011100010100000100011100
+00100111000001000001100111000101
+00000101011100010100000000011100
00010000001001110000010000001001
-11000001000000100111000001000000
+11000001000000100111000001000001
00011100000100000110011100010100
00001001110000000000000000000000
00000000000000000000000000000000
-00000000000000000000010000000001
+00000000000000000000010000010101
01110001000000010101110001000000
00010111000100000001010111000100
-00000111001100010000000101011100
+00000101001100010000000101011100
01000000010101110001000000010101
11000100000001010111000100000001
-11011100010000000101011100010000
+01011100010000000101011100010000
00010101110000000000000000000000
00000000000000000000000000000000
00000000000000000000001000000001
00100000100000000100100000100000
-00010010000010000000010010000010
-00000000001000011000000001001000
+00010010000010000000010010000110
+00000000001000001000000001001000
00100000000100100000100000000100
10000010000000010010000010000000
01001000001000000001001000001000
00000100100000000000000000000000
00000000000000000000000000000000
-00000000000000000000000000000100
+00000000000000000000000000000000
01100000000000000001100101000000
-00000110000000000000000110010100
-00000000011001000000000000011000
+00000110000000000000000110010000
+00000000011001010000000100011000
00000000000001100000000000000001
-10000000000000000110000000000000
+10000000000000000110000000000001
00011000000000000100011000010000
00000001100000000000000000000000
00000000000000000000000000000000
-00000000000000000000100000000001
-00100010010000010001110011100000
-00000111001000000001000111001000
-00000100011100101000000100011100
-10000000010001110010010000010001
+00000000000000000000100000000101
+00100010000000010001110010000000
+00010010001000000000000111001011
+00000100011100100000000100011100
+10000000010001110010000000010001
11001000000001000111001000000001
00011100100000000100011100101000
00010001110000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
-01100000000000000001100100000000
+01100000000000000001100100100000
01000110000000000000000110010000
00000000011001000000000000011000
00000000000001100000000000000001
@@ -8411,43 +8411,43 @@ Bits: 336768
00011000000000000000011000000000
00000001100000000000000000000000
00000000000000000000000000000000
-00000000000000000000110100000000
-00100010000000010000100101000000
-01000010001000000001000010010001
-00000100001001000000000100001000
+00000000000000000000110000000100
+00100010000000010000100100000000
+01000010001000000000000010010100
+00000100001001001000000100001000
10000000010000100011000000010000
10001000000001000010010000000001
00001000100000000100001001100000
00010000100000000000000000000000
00000000000000000000000000000000
-00000000000000000010101100000000
-01001011110000010001001000100000
-01000100101010000001000100100111
-00000000010010001000000100010010
+00000000000000000010101100000100
+01001010110000010001001001100000
+01000100101010000001000100100011
+00000100010010001000000000010010
10100000010001001010100000010001
00101010000001000100100011000000
00010010101100000000010010101100
00010001000000000000000000000000
00000000000000000000000000000000
00001000110000000000110000000000
-00010011000000000000010011000000
+00010010000000000000010011100000
00000000001100000000000101001100
00000000000100110000000000010100
-11000000000001010011000000000100
+11000000000001010011100000000100
00001100000000000101001100000000
01000000110000000000000100110000
00000000010000000001000000000000
00000000000000000000000000000000
-00000000110000000000010000000000
-00010001000000000000010101000000
+00000000110000000000011000000000
+00010001100000000000010101000000
00000000000000000000000100010100
-00000100001101100000000000010000
+00000100000101100000000000010000
00000000000001000000000000000001
00000000000000000100010000000001
00000100000000000000000101000000
00000000010000000011000000000000
00000000000000000000000000000000
-00001000110000000100000000000000
+00001000110000000100000000000010
00010000000000001000010000000000
01100000000000000000100000000000
00000010000100000000000010000000
@@ -8458,14 +8458,14 @@ Bits: 336768
00000000000000000000000000000000
00001000110000000100000000000100
01100000000000010001100000000000
-01000010000000000001000110000000
+01000010000000000000000110000000
00000000011000000000000110011000
00000000010001100000000000010001
10000000000001000110000000000001
00011000000000000100011000000000
00010001100000000011000000000000
00000000000000000000000000000000
-00010000000000000100000000000010
+00010000000000010000000000000010
01100000000000001001100000000000
00100110000000000000100110000000
00000000011000100000000000011000
@@ -8474,16 +8474,16 @@ Bits: 336768
00011000000000000010011000000000
00001001100000100000000000000000
00000000000000000000000000000000
-01000000010001010100001000000100
+01000000010000010100001000000100
00110000100000010000110000100000
00000011000010000001000011000010
00000110001100001000000100001100
00100000010000110000100000010000
-11000010000001000011000010000001
+10000010000001000011000010000001
10001100001000000100001100001000
00010000110000000001000101010000
00000000000000000000000000000000
-01000000010000000000000000000000
+01000000000000000100000000000000
00110000000000000000110010000000
00000011000000000000000011001000
00000000001100000000000000001100
@@ -8492,19 +8492,19 @@ Bits: 336768
00001100000000000000001100000000
00000000110000000000000000000000
00000000000000000000000000000000
-01000000000000000000001000000000
+01000000000000000100001000000000
00110000100000000000110010110000
00000011000010000000000011001011
00000000001100001100000100001100
-00100000000000110000100000000000
-11000010000000000011001011000000
+00100000000000110000100000000100
+10000010000000000011001011000000
00001100001000000000001100001000
-00000000110000000000000001000000
+00000000110000000000000000000000
00000000000000000000000000000000
01000000010001010100000000000100
01100000000000010001100000011000
00000010000010000001000110000001
-00000100011100001100000100011000
+00000100011100001110000100011000
00100000010001100000100000010001
10000010000001000110000011000001
00011100001000000100011000001000
@@ -8519,7 +8519,7 @@ Bits: 336768
00011000001000000000001000001000
00000000100000000000000000000000
00000000000000000000000000000000
-01010000000000010100001000000100
+01010000000000010000001000000100
01100000100000010001100000100000
01000010000010000001000110000010
00000100001000001000000100001100
@@ -8530,26 +8530,26 @@ Bits: 336768
00000000000000000000000000000000
01000000010001010100000000000100
01010000000000010001010000000000
-01010000000000000001000101000000
+01000001000000000001000101000000
00000000000100000000000000000100
00000000010001010000000000010001
01000000000001000101000000000000
00000100000000000100010100000000
00010001010000100001000101010000
00000000000000000000000000000000
-01001000000000000100011000000000
-01000001100000000001000001100000
-00000100000110000000000100000110
-11000000010000011000000000010000
+01001000000001000000011010000000
+01000001101000000001000001100000
+00010101000110000000000100000110
+10000000010000011000000000010000
01100000000001000001100000000001
00000110000000000100000110000000
00010000011000000000010000011000
00000001000000000000000000000000
00000000000000000000000000000000
-01001000000000000000001000100001
-00000000100010000100000000101100
-00010000000010000000010000000010
-11000101000000001001000001000000
+01001000000000000000001000000001
+00000000100000000100000000100000
+00010010000010000000010000000010
+00000101000000001000000001000000
00100000000100000000100000000100
00000010000000010000000010000001
01000000001000000001000000001000
@@ -8557,8 +8557,8 @@ Bits: 336768
00000000000000000000000000000000
01000000010001010100011000000011
01010001100000001101010001100110
-01110101000110000000110101000110
-11000011010100011001000011010100
+01100101000110000000110101000110
+01000011010100011001000011010100
01100000001101010001100000001101
01000110000000110101000110000000
11010100011000000011010100011000
@@ -8567,40 +8567,40 @@ Bits: 336768
00000000000000010100011000100100
01110001100010010001110001000010
01000111000110000001000111000100
-10100100011100010010000110011100
+10100100011100010000000110011100
01100000010001110001100000010001
11000110000001000111000110000001
00011100011000000100011100011000
00010001110000000000000000000000
00000000000000000000000000000000
-01000000000000010000011000010111
+01000000010000010100011000000010
01110001100000001101110001100000
-00110111000110000000110111000110
-00000001011100011000000000011100
+00110111000110000000100111000110
+00000001011100011000000001011100
01100000001001110001100000001001
-11000110000000100111000110000001
+11000110000000100111000110000000
01011100011000000010011100011000
00001101110000000000000000000000
00000000000000000000000000000000
-01010000010001010100011000000111
-00110001100000010100110001100100
-00000111000110000001010010000110
-00000110001100011000000100001100
+01010000010001010100011000000101
+01110001100000010100110001100100
+00000011000110000001010111000110
+00000110001100011000000100011100
01100000010101110001100000010101
11000110000001010111000110000001
-00001100011000000101011100011000
+10011100011000000101011100011000
00010100110000100001000101010000
00000000000000000000000000000000
-01000000000001000001001000000001
-01100100100000000101100100100100
-00010010000010000000010010010010
-00000001011000001000000001011100
+01000000010000010001001000000001
+00100100100000000101100100100000
+00010100000010000000010010010010
+00000001011000001000000001001100
00100000000100100100100000000100
10000010000000010010010010000000
-01011001001000000001001001001000
+01001001001000000001001001001000
00000101100000000000000000000000
00000000000000000000000000000000
-01000000000000000000011000000000
+01000000010000010000011000000000
01100001100000000001100001100000
00000010000110000000000110000110
00000000001000011000000100011000
@@ -8609,25 +8609,25 @@ Bits: 336768
00001000011000000000011000011000
00000001100000000000000000000000
00000000000000000000000000000000
-00000000010001010110000000000000
+00000000010001010110000000010100
01111000000000010001111000000000
00000011100000000001000111100000
-00000101001010000000000100011110
+00000100011110000000000100011110
00000000010001111000000000010001
11100000000001000111100000000001
00011110000000000100011110000000
00010001110000000001000101010000
00000000000000000000000000000000
-01000000000000010101001000000000
+01000000010000010101001000000000
01100100100000000001100100100000
-01000110000010000000000110010010
+01000010000010000000000110010010
00000000011000001000000000011000
00100000000001100100100000000001
10000010000000000110010010000000
00011001001000000000011001001000
00000001100000000000000000000000
00000000000000000000000000000000
-01000000000000000101001000000000
+01000000000000010101001000000100
00100100100000010000100100100000
01000010000010000001000010010010
00000100001000001000000100001000
@@ -8636,16 +8636,16 @@ Bits: 336768
00001000001000000100001000001000
00010000100000000000000000000000
00000000000000000000000000000000
-01000000010001010100001000000000
+01000000010001010100001000000100
01000000100000010001000000011010
-01010101000010000001000100000010
+01010001000010000001000100000010
00000000010000001000000000010000
00100000010001000000100000010001
-00000010000001000100000010000001
+00000010000001000100000010000000
00010000001000000100010000001000
00010001000000000001000101010000
00000000000000000000000000000000
-01000000010000000001001000000000
+01000000000000000001001000000000
01010100100000000001010100100000
00000101000011000000000101010010
00000000010100001100000000010100
@@ -8654,9 +8654,9 @@ Bits: 336768
00010100001000000000010100001000
00000001010000000000000000000000
00000000000000000000000000000000
-01000000000000000000100000000000
+01000000000000010000100000000000
01000010000000000001000100000100
-00000110001000000000000100001000
+00000100001000000000000100001000
00000100010000100000000000010000
10000000000001000010000000000001
00001000000000000100001000000001
@@ -8674,7 +8674,7 @@ Bits: 336768
00000000000000000000000000000000
01000000000000010100000000000100
01100000000000010001100100000000
-01000110000000000000000110000000
+01000110000000000001000110000000
00000100011000000000000110011000
00000000010001100000000000010001
10000000000001000110000000000001
@@ -8682,8 +8682,8 @@ Bits: 336768
00010001100000000000000000000000
00000000000000000000000000000000
01000000000000010100000000000010
-01100000000000001001100100000011
-00100010000000000000100110000000
+01100000000010001001100100000011
+00000010000000000000100110000000
00000000001000000000000000011101
00000000011001100100000000001001
10000000000000100110010000000000
@@ -8691,38 +8691,38 @@ Bits: 336768
00001001100000000000000000000000
00000000000000000000000000000000
01000000010001010110000000000100
-00111000000100010000111000000010
-00000010100000000001000011100000
-10100110001010000000110100011010
+00111000000000010000111000000010
+00000010100000000101000011100000
+10100110001010000000100100011010
00000000011000111000000000010000
11100000000001000011100000000001
10001010000000000100001110000000
00010000110000000001000101010000
00000000000000000000000000000000
01010000000000000000000100100000
-00110000010000000000110001010000
+00110000010000000000110001110100
00000010000001000000000011000001
00000000001000000100000000001000
-00110000000000110000010000000000
+00010000000000110000010000000000
11000001000000000011000001000000
00001000000100000000001100000100
00000000110000000000000000000000
00000000000000000000000000000000
01000000000000000000010000000000
-00110001000000000000110101000010
+00110001000000000000110100001010
00000010000101000000000011000100
00000000001000010100000100001101
-01010000000000110101010000000000
-11000101000000000011010100000000
+01110000000000110101010000000000
+11000101000000000011010110000000
00001000010000000000001100010000
00000000110000100000000000000000
00000000000000000000000000000000
01000000010001010100001100000100
-01100000110000010001100001110000
+01100000110000010001100000111000
00010010000011000001000110000011
00000100011000001100000100011000
00110000000001100001110000010001
-10000011000001000110000111000001
+10000011000001000110000001000001
00011000001100000100011000001100
00010001100000000001000101010000
00000000000000000000000000000000
@@ -8730,7 +8730,7 @@ Bits: 336768
00100000000000000000100000000000
01000010000000000000000010000000
00000000001000000000000000001000
-01000000000000100000000000000000
+01000000000000100000010000000000
10000000000000000010000000000000
00001000000000000000001000000000
00000000100000000000000000000000
@@ -8745,48 +8745,48 @@ Bits: 336768
00010001100000000000000000000000
00000000000000000000000000000000
01000000010001010100000001000100
-01010000000100010001010001000110
+01010000000100010001010000000100
01000101000000010001000101000000
01000001000000000001000000010100
-00000100000001010000010000010001
-01000000010001000101000001010000
+00000100000001010000000000010001
+01000000010001000101000000010000
00010100000001000100010100000001
00010001010000000001000101010000
00000000000000000000000000000000
01000000000000000000100000100000
01000010000010000001000010001010
00000101001000001000000100001000
-10100000010000100000100000010000
+10100000010000100010100000010000
10000010000001000010000010000001
00001000001000000100001000001000
00010000100000100000010000100000
00000001000000000000000000000000
00000000000000000000000000000000
00000000000000000100101000000001
-00000010100000000100000010100000
-00000000001010000000010000001010
-01000101000000101000100001000000
+00000010100000000000000010100000
+01000000001010000000010000001010
+01000101000000101000000001000000
10100000000100000010100000000100
00001010000000010000001010000001
01000000101000000001000000101000
00000100000000000000000000000000
00000000000000000000000000000000
01000000000001010100110100000011
-01010011010010001101010011010010
+01010011010000001100010011010010
00000001001101000000110101001101
01000011010100110100000011010100
11010000001101010011010000001101
01001101000000110101001101000000
-11010100110100000011010100110100
+11000100110100000011010100110100
00001101010000000001000101010000
00000000000000000000000000000000
01000000000000010100100001000000
-01110010000000010001110010000000
-01010111001000000001000111001000
+01110010000100010101110010000000
+01000111001000000001000111001000
10000100011100100000000110011100
10000000010001110010000000010001
11001000000001000111001000000001
-00011100100000000100011100100000
+01001100100000000100011100100000
00010001110000000000000000000000
00000000000000000000000000000000
00000000000000000010001100001000
@@ -8845,7 +8845,7 @@ Bits: 336768
00000000000000000000000000000000
00000000000000000000001010000100
00000000101000010000000000101000
-01000000000010100001110011000010
+01000000000010100001000000000010
10000100000000001010000100000000
00101000010000000000101000010000
00000010100001000000000010100001
@@ -8857,8 +8857,8 @@ Bits: 336768
01000000001000000001000000001000
00000100000000100000000100000000
10000000010000000010000000010000
-00001000000001000000001000000001
-00000000100000000100000000000000
+00001000000001000000000000000001
+00000000000000000100000000000000
00010000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000010000100
@@ -8872,7 +8872,7 @@ Bits: 336768
00000000000000000000000000000000
00000000000000000000000000000100
00000000000000010000000000000000
-01000000000000000001110011000000
+01000000000000000001000000000000
00000100000000000000000100000000
00000000010000000000000000010000
00000000000001000000000000000001
@@ -8882,7 +8882,7 @@ Bits: 336768
00000000000000000000000010000000
00000000001000000000000000001000
00000000000000100000000000000000
-10000011001100000010000000000000
+10000000000000000010000000000000
00001000000000000000001000000000
00000000100000000000000000100000
00000000000010000000000000000010
@@ -8909,7 +8909,7 @@ Bits: 336768
00001000000000000000100000000000
00000010000000000000000010000000
00000000001000000000000000001000
-00000011001100100000000000000000
+00000000000000100000000000000000
10000000000000000010000000000000
00001000000000000000001000000000
00000000100000000000000000100000
@@ -8944,8 +8944,8 @@ Bits: 336768
00000000000000000000000000000000
00001000000100000000000000000111
00110000000000011100110000000000
-01110011000000000001110011000000
-00000111001100000000000111001100
+01110011000000000001000000000000
+00000100000000000000000111001100
00000000011100110000000000011100
11000000000001110011000000000001
11001100000000000111001100000000
@@ -8953,8 +8953,8 @@ Bits: 336768
00000000000000000000000000000000
00001000000000000000000010000100
00000000001000010000000000001000
-01000000000000100001110011000000
-10000111001100000010000111001100
+01000000000000100001000000000000
+10000100000000000010000100000000
00001000010000000000001000010000
00000000100001000000000000100001
00000000000010000100000000000010
@@ -8962,8 +8962,8 @@ Bits: 336768
00000000000000000000000000000000
00000000000000000000001000000000
00000000100000000000000000100000
-00000000000010000001000000000010
-00000100000000001000000100000000
+00000000000010000000000000000010
+00000000000000001000000000000000
00100000000000000000100000000000
00000010000000000000000010000000
00000000001000000000000000001000
@@ -8971,8 +8971,8 @@ Bits: 336768
00000000000000000000000000000000
00000000000000000000000010000000
00000000001000000000000000001000
-00000000000000100001000000000000
-10000100000000000010000100000000
+00000000000000100000000000000000
+10000000000000000010000000000000
00001000000000000000001000000000
00000000100000000000000000100000
00000000000010000000000000000010
@@ -8980,8 +8980,8 @@ Bits: 336768
00000000000000000000000000000000
00000000000100001000000000000000
00000000000000000000000000000000
-00000000000000000000110011000000
-00000011001100000000000011001100
+00000000000000000000000000000000
+00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
@@ -9096,9 +9096,9 @@ Bits: 336768
00010000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000100
-00000000000000010000000000000000
-01000000001000000001000000000000
-00000100000000000000000100000000
+00000010000000010000000000000000
+01000000000000000001000000001000
+00000100000000100000000100000000
10000000010000000010000000010000
00001000000001000000001000000001
00000000100000000100000000100000
@@ -9159,12 +9159,12 @@ Bits: 336768
00000000000000100000000000000000
00000000000000000000000000000000
00001000000000000000101010000100
-00000010101000010000000010101000
-01110011001010100001000000001010
+00000010101000011100110010101000
+01000000001010100001000000001010
10000100000000101010000100000000
10101000010000000010101000010000
00001010100001000000001010100001
-00000000101010000100000000101010
+11001100101010000100000000101010
00010000000000100000000000000000
00000000000000000000000000000000
00001000000000000000100000000100
@@ -9178,7 +9178,7 @@ Bits: 336768
00000000000000000000000000000000
00000000000000000000000010000100
00000000001000010000000000001000
-00000000000000100001000000000000
+01000000000000100001000000000000
10000100000000000010000100000000
00001000010000000000001000010000
00000000100001000000000000100001
@@ -9188,7 +9188,7 @@ Bits: 336768
00001000000100000000000000000111
00110000000000011100110000000000
01000000000000000001110011000000
-00000100000000000000000111001100
+00000100000000000000000100000000
00000000011100110000000000011100
11000000000001110011000000000001
11001100000000000111001100000000
@@ -9199,34 +9199,34 @@ Bits: 336768
01000000000000100001000000000000
10000100000000000010000100000000
00001000010000000000001000010000
-00000000100001000000000000100001
+00000000100001110011000000100001
00000000000010000100000000000010
00010000000000100000000000000000
00000000000000000000000000000000
00000000000000000000001000000000
00000000100000000000000000100000
00000000000010000000000000000010
-00000000000000001000000000000000
+00000000000000001000000110000100
00100000000000000000100000000000
-00000010000000000000000010000000
+00000010000001000000000010000000
00000000001000000000000000001000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000010000000
00000000001000000000000000001000
00000000000000100000000000000000
-10000000000000000010000000000000
+10000000000000000010000110000100
00001000000000000000001000000000
-00000000100000000000000000100000
+00000000100001000000000000100000
00000000000010000000000000000010
00000000000000000000000000000000
00000000000000000000000000000000
00000000000100001000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
+00000000000000000000000111001100
00000000000000000000000000000000
-00000000000000000000000000000000
-00000000000000000000000000000000
+00000000000000110011000000000000
00000000000000000000000000000000
00000000000000000000010000100000
00000000000000000000000000000000
@@ -10506,7 +10506,7 @@ Bits: 336768
00000000000000000000000000000000
00000000000000000000000000000000
00110000000000000000000000000001
-00000000000000001011100001100010
+00000000000000000001110101100111
00110000000000001000000000000001
00000000000000000000000000000011
00110000000000000100000000001001