summaryrefslogtreecommitdiff
path: root/pciradio.ucf
diff options
context:
space:
mode:
authorjim <jim@5390a7c7-147a-4af0-8ec9-7488f05a26cb>2004-12-22 04:44:26 +0000
committerjim <jim@5390a7c7-147a-4af0-8ec9-7488f05a26cb>2004-12-22 04:44:26 +0000
commit5ccad649b6fbb917cecf9530ba64814459dfe565 (patch)
treed4290c0877018d339fda18f5323952c88239b157 /pciradio.ucf
parent35c0c5037ea5cb43b560d93137e4fef09fd1f3da (diff)
Changed out VHDL code so that interrupt handling would be much more efficient
and fully handle all functions git-svn-id: http://svn.digium.com/svn/zaptel/trunk@513 5390a7c7-147a-4af0-8ec9-7488f05a26cb
Diffstat (limited to 'pciradio.ucf')
-rwxr-xr-xpciradio.ucf129
1 files changed, 0 insertions, 129 deletions
diff --git a/pciradio.ucf b/pciradio.ucf
deleted file mode 100755
index ec7daad..0000000
--- a/pciradio.ucf
+++ /dev/null
@@ -1,129 +0,0 @@
-NET "A<0>" LOC = "P82";
-NET "A<1>" LOC = "P83";
-NET "A<2>" LOC = "P84";
-NET "A<3>" LOC = "P86";
-NET "D<0>" LOC = "P73";
-NET "D<1>" LOC = "P70";
-NET "D<2>" LOC = "P69";
-NET "D<3>" LOC = "P66";
-NET "D<4>" LOC = "P60";
-NET "D<5>" LOC = "P57";
-NET "D<6>" LOC = "P56";
-NET "D<7>" LOC = "P53";
-NET "LED<0>" LOC = "P55" ;
-NET "LED<1>" LOC = "P58" ;
-NET "LED<2>" LOC = "P59" ;
-NET "LED<3>" LOC = "P62" ;
-NET "LED<4>" LOC = "P65" ;
-NET "LED<5>" LOC = "P67" ;
-NET "LED<6>" LOC = "P68" ;
-NET "LED<7>" LOC = "P71" ;
-NET "CLK0" LOC = "P39" ;
-NET "CLK" LOC = "P34" ;
-NET "FS<0>" LOC = "P22" ;
-NET "FS<1>" LOC = "P30" ;
-NET "FS<2>" LOC = "P31" ;
-NET "FS<3>" LOC = "P32" ;
-NET "READ" LOC = "P88" ;
-NET "WRITE" LOC = "P36" ;
-NET "TJFSC" LOC = "P93" ;
-NET "led<0>" DRIVE = 24;
-NET "led<1>" DRIVE = 24;
-NET "led<2>" DRIVE = 24;
-NET "led<3>" DRIVE = 24;
-NET "led<4>" DRIVE = 24;
-NET "led<5>" DRIVE = 24;
-NET "led<6>" DRIVE = 24;
-NET "led<7>" DRIVE = 24;
-NET "led<0>" IOSTANDARD = LVTTL;
-NET "led<1>" IOSTANDARD = LVTTL;
-NET "led<2>" IOSTANDARD = LVTTL;
-NET "led<3>" IOSTANDARD = LVTTL;
-NET "led<4>" IOSTANDARD = LVTTL;
-NET "led<5>" IOSTANDARD = LVTTL;
-NET "led<6>" IOSTANDARD = LVTTL;
-NET "led<7>" IOSTANDARD = LVTTL;
-NET "cor<0>" LOC = "p18";
-NET "cor<1>" LOC = "p19";
-NET "cor<2>" LOC = "p20";
-NET "cor<3>" LOC = "p21";
-NET "cs<0>" LOC = "p44";
-NET "cs<1>" LOC = "p45";
-NET "cs<2>" LOC = "p46";
-NET "cs<3>" LOC = "p47";
-NET "din" LOC = "p41";
-NET "dout" LOC = "p43";
-NET "irq" LOC = "p54";
-NET "ptt<0>" LOC = "p13";
-NET "ptt<1>" LOC = "p15";
-NET "ptt<2>" LOC = "p16";
-NET "ptt<3>" LOC = "p17";
-NET "sclk" LOC = "p40";
-NET "test<0>" LOC = "p95";
-NET "test<1>" LOC = "p96";
-NET "test<2>" LOC = "p97";
-NET "test<3>" LOC = "p98";
-NET "a<0>" IOSTANDARD = LVTTL;
-NET "a<1>" IOSTANDARD = LVTTL;
-NET "a<2>" IOSTANDARD = LVTTL;
-NET "a<3>" IOSTANDARD = LVTTL;
-NET "clk" IOSTANDARD = LVTTL;
-NET "clk0" IOSTANDARD = LVTTL;
-NET "cor<0>" IOSTANDARD = LVTTL;
-NET "cor<1>" IOSTANDARD = LVTTL;
-NET "cor<2>" IOSTANDARD = LVTTL;
-NET "cor<3>" IOSTANDARD = LVTTL;
-NET "cs<0>" IOSTANDARD = LVTTL;
-NET "cs<1>" IOSTANDARD = LVTTL;
-NET "cs<2>" IOSTANDARD = LVTTL;
-NET "cs<3>" IOSTANDARD = LVTTL;
-NET "d<0>" IOSTANDARD = LVTTL;
-NET "d<1>" IOSTANDARD = LVTTL;
-NET "d<2>" IOSTANDARD = LVTTL;
-NET "d<3>" IOSTANDARD = LVTTL;
-NET "d<4>" IOSTANDARD = LVTTL;
-NET "d<5>" IOSTANDARD = LVTTL;
-NET "d<6>" IOSTANDARD = LVTTL;
-NET "d<7>" IOSTANDARD = LVTTL;
-NET "din" IOSTANDARD = LVTTL;
-NET "dout" IOSTANDARD = LVTTL;
-NET "fs<0>" IOSTANDARD = LVTTL;
-NET "fs<1>" IOSTANDARD = LVTTL;
-NET "fs<2>" IOSTANDARD = LVTTL;
-NET "fs<3>" IOSTANDARD = LVTTL;
-NET "irq" IOSTANDARD = LVTTL;
-NET "ptt<0>" IOSTANDARD = LVTTL;
-NET "ptt<1>" IOSTANDARD = LVTTL;
-NET "ptt<2>" IOSTANDARD = LVTTL;
-NET "ptt<3>" IOSTANDARD = LVTTL;
-NET "read" IOSTANDARD = LVTTL;
-NET "sclk" IOSTANDARD = LVTTL;
-NET "test<0>" IOSTANDARD = LVTTL;
-NET "test<1>" IOSTANDARD = LVTTL;
-NET "test<2>" IOSTANDARD = LVTTL;
-NET "test<3>" IOSTANDARD = LVTTL;
-NET "tjfsc" IOSTANDARD = LVTTL;
-NET "write" IOSTANDARD = LVTTL;
-NET "cor<0>" PULLUP;
-NET "cor<1>" PULLUP;
-NET "cor<2>" PULLUP;
-NET "cor<3>" PULLUP;
-NET "uioa<0>" LOC = "p3";
-NET "uioa<1>" LOC = "p4";
-NET "uioa<2>" LOC = "p5";
-NET "uioa<3>" LOC = "p6";
-NET "uiob<0>" LOC = "p7";
-NET "uiob<1>" LOC = "p8";
-NET "uiob<2>" LOC = "p9";
-NET "uiob<3>" LOC = "p10";
-NET "uioa<0>" IOSTANDARD = LVTTL;
-NET "uioa<1>" IOSTANDARD = LVTTL;
-NET "uioa<2>" IOSTANDARD = LVTTL;
-NET "uioa<3>" IOSTANDARD = LVTTL;
-NET "uiob<0>" IOSTANDARD = LVTTL;
-NET "uiob<1>" IOSTANDARD = LVTTL;
-NET "uiob<2>" IOSTANDARD = LVTTL;
-NET "uiob<3>" IOSTANDARD = LVTTL;
-NET "write" IOSTANDARD = LVTTL;
-NET "clk0" IOSTANDARD = LVTTL;
-NET "rst" IOSTANDARD = LVTTL;