summaryrefslogtreecommitdiff
path: root/pciradio.c
AgeCommit message (Collapse)Author
2005-10-04use pci_register_driver() on recent kernels (issue #5375, compatibility fix ↵kpfleming
only, no functional difference) git-svn-id: http://svn.digium.com/svn/zaptel/trunk@791 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2005-09-08Fixed signalling problem with pciradio cardjim
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@758 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2005-08-24Fixed update of rxsignalling status so that rxisoffhook parm worksjim
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@745 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2005-08-22Re-added the mutex initializer for remotelockjim
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@741 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2005-08-11Fix apparent typomarkster
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@732 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2005-08-11Allowed for no rx response after transmit of serial datajim
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@729 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2005-08-11Added driver support for UART output in VHDL on each channeljim
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@728 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2005-07-25ensure _all_ drivers use unsigned ints for counterskpfleming
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@706 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2005-06-17Fix build on x86_64markster
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@679 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2005-01-24Updated pciradio driver and VHDL to fix nasty bus access bug, and addedjim
diagnostic (raddiag). git-svn-id: http://svn.digium.com/svn/zaptel/trunk@572 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2005-01-15Correct order of includesmarkster
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@560 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2005-01-15Fix build for 2.4 kernel (bug #3343)markster
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@558 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2005-01-14Fix compile on older 2.6 kernels (bug #3334)markster
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@555 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2005-01-13Fix for 2.6 module parm (bug #3321)markster
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@546 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2004-12-22Changed out VHDL code so that interrupt handling would be much more efficientjim
and fully handle all functions git-svn-id: http://svn.digium.com/svn/zaptel/trunk@513 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2004-11-23Added input for UIO lines in vhdl and properly support exttone in driverjim
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@501 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2004-11-21Added support files for new version of pciradio card and updates to driver.jim
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@500 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2004-11-05Fixed bugs in pciradio.c and ztcfg.c regarding pciradio stuff.jim
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@490 5390a7c7-147a-4af0-8ec9-7488f05a26cb
2004-11-04Added support for Zapata Telephony Quad PCI Radio Interface card.jim
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@489 5390a7c7-147a-4af0-8ec9-7488f05a26cb