From 5ccad649b6fbb917cecf9530ba64814459dfe565 Mon Sep 17 00:00:00 2001 From: jim Date: Wed, 22 Dec 2004 04:44:26 +0000 Subject: Changed out VHDL code so that interrupt handling would be much more efficient and fully handle all functions git-svn-id: http://svn.digium.com/svn/zaptel/trunk@513 5390a7c7-147a-4af0-8ec9-7488f05a26cb --- pciradio.c | 550 +-- pciradio.rbt | 11976 ++++++++++++++++++++++++------------------------- pciradio.ucf | 129 - pciradio.vhd | 169 - pciradio_vhdl.tar.gz | Bin 0 -> 16910 bytes zaptel.h | 17 +- ztcfg.c | 32 +- 7 files changed, 6313 insertions(+), 6560 deletions(-) delete mode 100755 pciradio.ucf delete mode 100755 pciradio.vhd create mode 100755 pciradio_vhdl.tar.gz diff --git a/pciradio.c b/pciradio.c index 3351bb7..84e2507 100755 --- a/pciradio.c +++ b/pciradio.c @@ -37,9 +37,8 @@ /* Latency tests: -Without driver: 308208 -Without int: 304096 (1.3 %) -With PL check: 267722 (13.2 % -- will be much improved with new Xilinx) +Without driver: 308496 +With driver: 303826 (1.5 %) */ @@ -90,11 +89,6 @@ With PL check: 267722 (13.2 % -- will be much improved with new Xilinx) #define RAD_REGBASE 0xc0 -#define BIT_CS (1 << 2) -#define BIT_SCLK (1 << 3) -#define BIT_SDI (1 << 4) -#define BIT_SDO (1 << 5) - #define RAD_CTCSSMASK 0xf #define RAD_CTCSSOTHER 0xf #define RAD_CTCSSVALID 0x10 @@ -103,16 +97,6 @@ With PL check: 267722 (13.2 % -- will be much improved with new Xilinx) #define RAD_GOTRX_DEBOUNCE_TIME 75 -/* bits for port 0 in */ -#define MX828_DOUT 0x10 /* Data from MX828 */ -/* bits for port 0 out */ -#define MX828_DIN 0x2 /* Data to MX828 */ -#define MX828_CS0 0x10 /* MX828 CS Channel 0 */ -#define MX828_CS1 0x20 /* MX828 CS Channel 1 */ -#define MX828_CS2 0x40 /* MX828 CS Channel 2 */ -#define MX828_CS3 0x80 /* MX828 CS Channel 3 */ -#define MX828_SCLK 0x1 /* MX828 Serial Clock */ - /* * MX828 Commands */ @@ -134,6 +118,22 @@ With PL check: 267722 (13.2 % -- will be much improved with new Xilinx) #define MX828_IRQ_FLAG 0x8F /* R */ +struct encdec +{ + unsigned char state; /* 0 = idle */ + int chan; + unsigned char req[NUM_CHANS]; + unsigned char dcsrx[NUM_CHANS]; + unsigned char ctrx[NUM_CHANS]; + unsigned char dcstx[NUM_CHANS]; + unsigned char cttx[NUM_CHANS]; + unsigned char saudio_ctrl[NUM_CHANS]; + unsigned char saudio_setup[NUM_CHANS]; + unsigned char txcode[NUM_CHANS]; + int myindex[NUM_CHANS]; +} ; + + struct pciradio { struct pci_dev *dev; struct zt_span span; @@ -145,9 +145,9 @@ struct pciradio { int freeregion; int nchans; spinlock_t lock; - unsigned char p0save; - unsigned char p1save; - unsigned char p2save; + spinlock_t rbilock; + unsigned char pasave; + unsigned char pfsave; unsigned long ioaddr; dma_addr_t readdma; dma_addr_t writedma; @@ -164,6 +164,7 @@ struct pciradio { int debouncetime[NUM_CHANS]; int bursttime[NUM_CHANS]; int bursttimer[NUM_CHANS]; + unsigned char remmode[NUM_CHANS]; unsigned short present_code[NUM_CHANS]; unsigned short last_code[NUM_CHANS]; unsigned short rxcode[NUM_CHANS][NUM_CODES + 1]; @@ -178,6 +179,9 @@ struct pciradio { #define RADMODE_NOENCODE 32 unsigned char corthresh[NUM_CHANS]; struct zt_chan chans[NUM_CHANS]; + unsigned char mx828_addr; + struct encdec encdec; + unsigned long lastremcmd; }; @@ -449,35 +453,25 @@ static unsigned char __pciradio_getcreg(struct pciradio *rad, unsigned char reg) return inb(rad->ioaddr + RAD_REGBASE + ((reg & 0xf) << 2)); } -static void wait_just_a_bit(int foo) -{ - long newjiffies; - newjiffies = jiffies + foo; - while(jiffies < newjiffies); -} - -/* -* Output a byte to the MX828 PL encoder/decoder chip -*/ -void mx828_set_serdata(struct pciradio *rad,int bit) +void rbi_out(struct pciradio *rad, int n, unsigned char *rbicmd) { - rad->p0save &= ~MX828_DIN; - if(bit) - rad->p0save |= MX828_DIN; - __pciradio_setcreg(rad,0,rad->p0save); +int x; +DECLARE_WAIT_QUEUE_HEAD(mywait); + + + spin_lock(&rad->rbilock); + while(__pciradio_getcreg(rad,0xc) & 2) interruptible_sleep_on_timeout(&mywait,2); + /* enable and address RBI serializer */ + __pciradio_setcreg(rad,0xf,rad->pfsave | (n << 4) | 0x40); + /* output commands */ + for(x = 0; x < 5; x++) __pciradio_setcreg(rad,0xc,rbicmd[x]); + /* output it */ + __pciradio_setcreg(rad,0xb,1); + spin_unlock(&rad->rbilock); + return; } -void mx828_wiggle_sclk(struct pciradio *rad) -{ - rad->p0save &= ~MX828_SCLK; /* SCLK 1 -> 0 */ - __pciradio_setcreg(rad,0,rad->p0save); - udelay(1); - rad->p0save |= MX828_SCLK; /* SCLK 0 -> 1 */ - __pciradio_setcreg(rad,0,rad->p0save); - udelay(1); -} - /* * Output a command to the MX828 over the serial bus @@ -487,192 +481,156 @@ void mx828_wiggle_sclk(struct pciradio *rad) void mx828_command(struct pciradio *rad,int channel, unsigned char command, unsigned char *byte1, unsigned char *byte2) { - int i, param = 1, wr = 1, word = 0; - unsigned char byte; - if(channel > 3) return; - /* Pull the transfer info from the command code */ - - switch(command){ - case MX828_GEN_RESET: /* Commands with no param */ - param = 0; - break; - - case MX828_SAUDIO_CTRL: /* 8 bit write commands */ - case MX828_SAUDIO_SETUP: - case MX828_DCS1: - case MX828_DCS2: - case MX828_DCS3: - case MX828_IRQ_MASK: - case MX828_GEN_CTRL: - case MX828_GPT: - break; - - case MX828_SAUDIO_STATUS: /* 8 bit read commands */ - case MX828_IRQ_FLAG: - case 0: - wr = 0; - break; + rad->mx828_addr = channel; + __pciradio_setcreg(rad,0,channel); + if (byte1) __pciradio_setcreg(rad,1,*byte1); + if (byte2) __pciradio_setcreg(rad,2,*byte2); + __pciradio_setcreg(rad,3,command); + +} - case MX828_TX_TONE: /* 16 bit write commands */ - case MX828_RX_TONE: - case MX828_AUD_CTRL: - case MX828_SELCALL: - word = 1; - break; +void mx828_command_wait(struct pciradio *rad,int channel, unsigned char command, unsigned char *byte1, unsigned char *byte2) +{ +unsigned int flags; +DECLARE_WAIT_QUEUE_HEAD(mywait); - default: + for(;;) + { + spin_lock_irqsave(&rad->lock,flags); + if ((!(__pciradio_getcreg(rad,0xc) & 1)) && + (!(rad->encdec.state))) + { + mx828_command(rad,channel,command,byte1,byte2); + spin_unlock_irqrestore(&rad->lock,flags); return; + } + spin_unlock_irqrestore(&rad->lock,flags); + interruptible_sleep_on_timeout(&mywait,5); } - - - mx828_set_serdata(rad,1); /* Start with data = 1 */ - - udelay(2); - - /* Set the proper CS */ +} - byte = (unsigned char ) 1 << (channel + 4); - - rad->p0save |= (MX828_CS0 | MX828_CS1 | MX828_CS2 | MX828_CS3); - rad->p0save &= ~byte; - __pciradio_setcreg(rad,0,rad->p0save); - - udelay(2); - - /* Output the command byte */ +static void _do_encdec(struct pciradio *rad, int n) +{ +int i; +unsigned char byte1,byte2; - byte = command; - - for( i = 0 ; i < 8 ; i++){ - udelay(2); - mx828_set_serdata(rad,0x80 & byte); /* MSB first */ - byte <<= 1; - mx828_wiggle_sclk(rad); - } - if(param){ - udelay(4); - if(wr){ - byte = *byte1; - for( i = 0 ; i < 8 ; i++){ - udelay(2); - mx828_set_serdata(rad,0x80 & byte); - byte <<= 1; - mx828_wiggle_sclk(rad); - } - if(word){ - udelay(4); - byte = *byte2; - for( i = 0 ; i < 8 ; i++){ - udelay(2); - mx828_set_serdata(rad,0x80 & byte); - byte <<= 1; - mx828_wiggle_sclk(rad); - } + /* return doing nothing if busy */ + if (__pciradio_getcreg(rad,0xc) & 1) return; + switch(rad->encdec.state) + { + case 0: + if (!rad->encdec.req[n]) return; + rad->encdec.req[n] = 0; + rad->encdec.dcsrx[n] = 0; + rad->encdec.ctrx[n] = 0; + rad->encdec.dcstx[n] = 0; + rad->encdec.cttx[n] = 0; + rad->encdec.myindex[n] = 0; + rad->encdec.req[n] = 0; + rad->encdec.chan = n; + + /* if something in code 0 for rx, is DCS */ + if (rad->rxcode[n][0]) rad->encdec.dcsrx[n] = 1; + else { /* otherwise, if something in other codes, is CT rx */ + for(i = 1; i <= NUM_CODES; i++) + { + if (rad->rxcode[n][1]) rad->encdec.ctrx[n] = 1; } + } + /* get index for tx code. Will be 0 if not receiving a CT */ + rad->encdec.myindex[n] = 0; + if (rad->gotrx[n] && rad->encdec.ctrx[n] && (rad->present_code[n])) + rad->encdec.myindex[n] = rad->present_code[n]; + /* get actual tx code from array */ + rad->encdec.txcode[n] = rad->txcode[n][rad->encdec.myindex[n]]; + if (rad->encdec.txcode[n] & 0x8000) rad->encdec.dcstx[n] = 1; + else if (rad->encdec.txcode[n]) rad->encdec.cttx[n] = 1; + if (rad->radmode[n] & RADMODE_NOENCODE) + rad->encdec.dcstx[n] = rad->encdec.cttx[n] = 0; + if ((!rad->gottx[n]) || rad->bursttimer[n]) + rad->encdec.dcstx[n] = rad->encdec.cttx[n] = 0; + rad->encdec.saudio_ctrl[n] = 0; + rad->encdec.saudio_setup[n] = 0; + rad->encdec.state = 1; + break; + case 1: + if (rad->encdec.dcstx[rad->encdec.chan] && (!rad->encdec.dcsrx[rad->encdec.chan])) /* if to transmit DCS */ + { + rad->encdec.saudio_setup[rad->encdec.chan] |= 3; + rad->encdec.saudio_ctrl[rad->encdec.chan] |= 0x80; + byte1 = dcstable[rad->encdec.txcode[rad->encdec.chan] & 0x7fff].b1; + mx828_command(rad,rad->encdec.chan, MX828_DCS1, &byte1, &byte2 ); + rad->encdec.state = 2; + break; + } + rad->encdec.state = 4; + break; + case 2: + byte1 = dcstable[rad->encdec.txcode[rad->encdec.chan] & 0x7fff].b2; + mx828_command(rad,rad->encdec.chan, MX828_DCS2, &byte1, &byte2 ); + rad->encdec.state = 3; + break; + case 3: + byte1 = dcstable[rad->encdec.txcode[rad->encdec.chan] & 0x7fff].b3; + mx828_command(rad,rad->encdec.chan, MX828_DCS3, &byte1, &byte2 ); + rad->encdec.state = 4; + break; + case 4: + if (rad->encdec.cttx[rad->encdec.chan]) + { + rad->encdec.saudio_ctrl[rad->encdec.chan] |= 0x80; + byte1 = cttable_tx[rad->encdec.txcode[rad->encdec.chan]].b1; + byte2 = cttable_tx[rad->encdec.txcode[rad->encdec.chan]].b2; + mx828_command(rad,rad->encdec.chan, MX828_TX_TONE, &byte1, &byte2 ); } - else { /* rd */ - byte = 0; - for( i = 0 ; i < 8 ; i++){ - mx828_wiggle_sclk(rad); - byte <<= 1; - udelay(2); - if(__pciradio_getcreg(rad,0) & MX828_DOUT) - byte |= 0x01; - } - *byte1 = byte; - if(word){ - byte = 0; - udelay(4); - for( i = 0 ; i < 8 ; i++){ - mx828_wiggle_sclk(rad); - byte <<= 1; - udelay(2); - if(__pciradio_getcreg(rad,0) & MX828_DOUT) - byte |= 0x01; - } - *byte2 = byte; - } - + rad->encdec.state = 5; + break; + case 5: + if (rad->encdec.dcsrx[rad->encdec.chan]) + { + rad->encdec.saudio_setup[rad->encdec.chan] |= 1; + rad->encdec.saudio_ctrl[rad->encdec.chan] |= 0x41; + byte1 = dcstable[rad->rxcode[rad->encdec.chan][0]].b1; + mx828_command(rad,rad->encdec.chan, MX828_DCS1, &byte1, &byte2 ); + rad->encdec.state = 6; + break; } - } - - udelay(4); - - /* Release chip selects */ - rad->p0save |= (MX828_CS0 | MX828_CS1 | MX828_CS2 | MX828_CS3); - __pciradio_setcreg(rad,0,rad->p0save); -} - - -static void _set_encdec(struct pciradio *rad, int n) -{ -int i,myindex; -char dcsrx = 0, ctrx = 0, dcstx = 0, cttx = 0; -unsigned char byte1,byte2,saudio_ctrl,saudio_setup; -unsigned short txcode; - - - /* if something in code 0 for rx, is DCS */ - if (rad->rxcode[n][0]) dcsrx = 1; - else { /* otherwise, if something in other codes, is CT rx */ - for(i = 1; i <= NUM_CODES; i++) + rad->encdec.state = 8; + break; + case 6: + byte1 = dcstable[rad->rxcode[rad->encdec.chan][0]].b2; + mx828_command(rad,rad->encdec.chan, MX828_DCS2, &byte1, &byte2 ); + rad->encdec.state = 7; + break; + case 7: + byte1 = dcstable[rad->rxcode[rad->encdec.chan][0]].b3; + mx828_command(rad,rad->encdec.chan, MX828_DCS3, &byte1, &byte2 ); + rad->encdec.state = 8; + break; + case 8: + if (rad->encdec.ctrx[rad->encdec.chan]) { - if (rad->rxcode[n][1]) ctrx = 1; + rad->encdec.saudio_setup[rad->encdec.chan] |= 0x80; + rad->encdec.saudio_ctrl[rad->encdec.chan] |= 0x60; } - } - /* get index for tx code. Will be 0 if not receiving a CT */ - myindex = 0; - if (rad->gotrx[n] && ctrx && (rad->present_code[n])) myindex = rad->present_code[n]; - /* get actual tx code from array */ - txcode = rad->txcode[n][myindex]; - if (txcode & 0x8000) dcstx = 1; else if (txcode) cttx = 1; - if (rad->radmode[n] & RADMODE_NOENCODE) dcstx = cttx = 0; - if ((!rad->gottx[n]) || rad->bursttimer[n]) dcstx = cttx = 0; - saudio_ctrl = 0; - saudio_setup = 0; - if (dcstx && (!dcsrx)) /* if to transmit DCS */ - { - saudio_setup |= 3; - saudio_ctrl |= 0x80; - byte1 = dcstable[txcode & 0x7fff].b1; - mx828_command(rad,n, MX828_DCS1, &byte1, &byte2 ); - byte1 = dcstable[txcode & 0x7fff].b2; - mx828_command(rad,n, MX828_DCS2, &byte1, &byte2 ); - byte1 = dcstable[txcode & 0x7fff].b3; - mx828_command(rad,n, MX828_DCS3, &byte1, &byte2 ); - } - if (cttx) - { - saudio_ctrl |= 0x80; - byte1 = cttable_tx[txcode].b1; - byte2 = cttable_tx[txcode].b2; - mx828_command(rad,n, MX828_TX_TONE, &byte1, &byte2 ); - } - if (dcsrx) - { - saudio_setup |= 1; - saudio_ctrl |= 0x41; - byte1 = dcstable[rad->rxcode[n][0]].b1; - mx828_command(rad,n, MX828_DCS1, &byte1, &byte2 ); - byte1 = dcstable[rad->rxcode[n][0]].b2; - mx828_command(rad,n, MX828_DCS2, &byte1, &byte2 ); - byte1 = dcstable[rad->rxcode[n][0]].b3; - mx828_command(rad,n, MX828_DCS3, &byte1, &byte2 ); - } - if (ctrx) - { - saudio_setup |= 0x80; - saudio_ctrl |= 0x60; + byte1 = rad->encdec.saudio_setup[rad->encdec.chan]; + mx828_command(rad,rad->encdec.chan, MX828_SAUDIO_SETUP, &byte1, &byte2 ); + rad->encdec.state = 9; + break; + case 9: + byte1 = rad->encdec.saudio_ctrl[rad->encdec.chan]; + mx828_command(rad,rad->encdec.chan, MX828_SAUDIO_CTRL, &byte1, &byte2 ); + rad->encdec.state = 10; + break; + case 10: + rad->encdec.chan = 0; + rad->encdec.state = 0; + break; } - byte1 = saudio_setup; - mx828_command(rad,n, MX828_SAUDIO_SETUP, &byte1, &byte2 ); - byte1 = saudio_ctrl; - mx828_command(rad,n, MX828_SAUDIO_CTRL, &byte1, &byte2 ); - return; } static inline void pciradio_transmitprep(struct pciradio *rad, unsigned char ints) @@ -767,10 +725,15 @@ static void pciradio_interrupt(int irq, void *dev_id, struct pt_regs *regs) if (ints & 0x0f) { rad->intcount++; x = rad->intcount % rad->nchans; - mx828_command(rad, x, MX828_SAUDIO_STATUS, &byte1, &byte2); + /* freeze */ + __pciradio_setcreg(rad,0,rad->mx828_addr | 4); + /* read SAUDIO_STATUS for the proper channel */ + byte1 = __pciradio_getcreg(rad,x); + /* thaw */ + __pciradio_setcreg(rad,0,rad->mx828_addr); rad->saudio_status[x] = byte1; /* get COR input */ - byte2 = __pciradio_getcreg(rad,0); + byte2 = __pciradio_getcreg(rad,9); /* get bit for this channel */ gotcor = byte2 & (1 << x); if (rad->radmode[x] & RADMODE_INVERTCOR) gotcor = !gotcor; @@ -805,18 +768,22 @@ static void pciradio_interrupt(int irq, void *dev_id, struct pt_regs *regs) } else if (gotslowctcss) rad->present_code[x] = (byte1 & RAD_CTCSSMASK) + 1; if (rad->radmode[x] & RADMODE_EXTTONE) { - unsigned mask = 1 << (x + 4); + unsigned mask = 1 << (x + 4); /* they're on the UIOB's */ unsigned char byteuio; + /* set UIOB as input */ + byteuio = __pciradio_getcreg(rad,0xe); + byteuio |= mask; + __pciradio_setcreg(rad,0xe,byteuio); /* get UIO input */ - byteuio = __pciradio_getcreg(rad,1); + byteuio = __pciradio_getcreg(rad,8); if (rad->radmode[x] & RADMODE_EXTINVERT) gotctcss = gotslowctcss = ((byteuio & mask) == 0); else gotctcss = gotslowctcss = ((byteuio & mask) != 0); } rad->gotct[x] = gotslowctcss; - if (rad->radmode[x] & RADMODE_IGNORECT) + if ((rad->radmode[x] & RADMODE_IGNORECT) || (!ctcss)) { gotctcss = 1; gotslowctcss = 1; @@ -830,9 +797,10 @@ static void pciradio_interrupt(int irq, void *dev_id, struct pt_regs *regs) rad->gotrx[x] = gotrx; if (rad->present_code[x] != rad->last_code[x]) { - _set_encdec(rad,x); + rad->encdec.req[x] = 1; rad->last_code[x] = rad->present_code[x]; } + _do_encdec(rad,x); for(x = 0; x < rad->nchans; x++) { unsigned char mask = 1 << x; @@ -842,22 +810,22 @@ static void pciradio_interrupt(int irq, void *dev_id, struct pt_regs *regs) if (rad->gottx[x]) { rad->bursttimer[x] = 0; - rad->p1save |= mask; - __pciradio_setcreg(rad, 1, rad->p1save); + rad->pasave |= mask; + __pciradio_setcreg(rad, 0xa, rad->pasave); } else { if (!rad->bursttime[x]) { - rad->p1save &= ~mask; - __pciradio_setcreg(rad, 1, rad->p1save); + rad->pasave &= ~mask; + __pciradio_setcreg(rad, 0xa, rad->pasave); } else { rad->bursttimer[x] = rad->bursttime[x]; } } - _set_encdec(rad,x); + rad->encdec.req[x] = 1; rad->lasttx[x] = rad->gottx[x]; } if (rad->bursttimer[x]) @@ -867,8 +835,8 @@ static void pciradio_interrupt(int irq, void *dev_id, struct pt_regs *regs) { unsigned char mask = 1 << x; - rad->p1save &= ~mask; - __pciradio_setcreg(rad, 1, rad->p1save); + rad->pasave &= ~mask; + __pciradio_setcreg(rad, 0xa, rad->pasave); } } @@ -881,9 +849,9 @@ static void pciradio_interrupt(int irq, void *dev_id, struct pt_regs *regs) unsigned char mask; mask = 1 << (x + 4); - rad->p1save &= ~mask; - if (gotctcss) rad->p1save |= mask; - __pciradio_setcreg(rad, 1, rad->p1save); + rad->pasave &= ~mask; + if (gotctcss) rad->pasave |= mask; + __pciradio_setcreg(rad, 0xa, rad->pasave); if (rad->gotrx[x] != rad->gotrx1[x]) { @@ -901,7 +869,7 @@ static void pciradio_interrupt(int irq, void *dev_id, struct pt_regs *regs) if (debug) printk("Chan %d lost rx\n",x + 1); zt_qevent_lock(&rad->chans[x], ZT_EVENT_ONHOOK); } - _set_encdec(rad,x); + rad->encdec.req[x] = 1; } rad->gotrx1[x] = rad->gotrx[x]; } @@ -920,13 +888,14 @@ static int pciradio_ioctl(struct zt_chan *chan, unsigned int cmd, unsigned long { int i,mycode; unsigned long flags; - unsigned char byte1,byte2; + unsigned char byte1,byte2,mask; union { struct zt_radio_stat s; struct zt_radio_param p; } stack; struct pciradio *rad = chan->pvt; + DECLARE_WAIT_QUEUE_HEAD(mywait); switch (cmd) { case ZT_RADIO_GETPARAM: @@ -997,6 +966,21 @@ static int pciradio_ioctl(struct zt_chan *chan, unsigned int cmd, unsigned long case ZT_RADPAR_BURSTTIME: stack.p.data = rad->bursttime[chan->chanpos - 1]; break; + case ZT_RADPAR_UIODATA: + stack.p.data = 0; + byte1 = __pciradio_getcreg(rad,8); + if (byte1 & (1 << (chan->chanpos - 1))) stack.p.data |= 1; + if (byte1 & (1 << (chan->chanpos + 3))) stack.p.data |= 2; + break; + case ZT_RADPAR_UIOMODE: + stack.p.data = 0; + byte1 = __pciradio_getcreg(rad,0xe); + if (byte1 & (1 << (chan->chanpos - 1))) stack.p.data |= 1; + if (byte1 & (1 << (chan->chanpos + 3))) stack.p.data |= 2; + break; + case ZT_RADPAR_REMMODE: + stack.p.data = rad->remmode[chan->chanpos - 1]; + break; default: spin_unlock_irqrestore(&rad->lock,flags); return -EINVAL; @@ -1038,6 +1022,8 @@ static int pciradio_ioctl(struct zt_chan *chan, unsigned int cmd, unsigned long return -EINVAL; } rad->corthresh[chan->chanpos - 1] = stack.p.data; + byte1 = 0xc0 | (rad->corthresh[chan->chanpos - 1] << 2); + mx828_command_wait(rad,chan->chanpos - 1, MX828_GEN_CTRL, &byte1, &byte2); break; case ZT_RADPAR_EXTRXTONE: if (stack.p.data) @@ -1061,11 +1047,11 @@ static int pciradio_ioctl(struct zt_chan *chan, unsigned int cmd, unsigned long { /* set to no encode/decode */ byte1 = 0; - mx828_command(rad,chan->chanpos - 1, MX828_SAUDIO_CTRL, &byte1, &byte2 ); + mx828_command_wait(rad,chan->chanpos - 1, MX828_SAUDIO_CTRL, &byte1, &byte2 ); /* set rx tone to none */ byte1 = i << 4; byte2 = 0; - mx828_command(rad,chan->chanpos - 1, MX828_RX_TONE, &byte1, &byte2 ); + mx828_command_wait(rad,chan->chanpos - 1, MX828_RX_TONE, &byte1, &byte2 ); } case ZT_RADPAR_RXTONE: if (!stack.p.index) /* if RX DCS mode */ @@ -1080,7 +1066,7 @@ static int pciradio_ioctl(struct zt_chan *chan, unsigned int cmd, unsigned long return -EINVAL; } rad->rxcode[chan->chanpos - 1][0] = mycode; - _set_encdec(rad,chan->chanpos - 1); + rad->encdec.req[chan->chanpos - 1] = 1; break; } if ((stack.p.index < 1) || (stack.p.index > NUM_CODES)) { @@ -1095,10 +1081,10 @@ static int pciradio_ioctl(struct zt_chan *chan, unsigned int cmd, unsigned long rad->rxcode[chan->chanpos - 1][stack.p.index] = mycode; byte1 = cttable_rx[mycode].b1 | ((stack.p.index - 1) << 4); byte2 = cttable_rx[mycode].b2; - mx828_command(rad,chan->chanpos - 1, MX828_RX_TONE, &byte1, &byte2 ); + mx828_command_wait(rad,chan->chanpos - 1, MX828_RX_TONE, &byte1, &byte2 ); /* zot out DCS one if there */ rad->rxcode[chan->chanpos - 1][0] = 0; - _set_encdec(rad,chan->chanpos - 1); + rad->encdec.req[chan->chanpos - 1] = 1; break; case ZT_RADPAR_RXTONECLASS: if ((stack.p.index < 1) || (stack.p.index > NUM_CODES)) { @@ -1122,7 +1108,7 @@ static int pciradio_ioctl(struct zt_chan *chan, unsigned int cmd, unsigned long } if (stack.p.data & 0x8000) mycode |= 0x8000; rad->txcode[chan->chanpos - 1][stack.p.index] = mycode; - _set_encdec(rad,chan->chanpos - 1); + rad->encdec.req[chan->chanpos - 1] = 1; break; case ZT_RADPAR_DEBOUNCETIME: rad->debouncetime[chan->chanpos - 1] = stack.p.data; @@ -1130,6 +1116,53 @@ static int pciradio_ioctl(struct zt_chan *chan, unsigned int cmd, unsigned long case ZT_RADPAR_BURSTTIME: rad->bursttime[chan->chanpos - 1] = stack.p.data; break; + case ZT_RADPAR_UIODATA: + spin_lock_irqsave(&rad->lock,flags); + byte1 = __pciradio_getcreg(rad,8); + byte1 &= ~(1 << (chan->chanpos - 1)); + byte1 &= ~(1 << (chan->chanpos + 3)); + if (stack.p.data & 1) byte1 |= (1 << (chan->chanpos - 1)); + if (stack.p.data & 2) byte1 |= (1 << (chan->chanpos + 3)); + __pciradio_setcreg(rad,8,byte1); + spin_unlock_irqrestore(&rad->lock,flags); + break; + case ZT_RADPAR_UIOMODE: + spin_lock_irqsave(&rad->lock,flags); + byte1 = __pciradio_getcreg(rad,0xe); + byte1 &= ~(1 << (chan->chanpos - 1)); + byte1 &= ~(1 << (chan->chanpos + 3)); + if (stack.p.data & 1) byte1 |= (1 << (chan->chanpos - 1)); + if (stack.p.data & 2) byte1 |= (1 << (chan->chanpos + 3)); + __pciradio_setcreg(rad,0xe,byte1); + spin_unlock_irqrestore(&rad->lock,flags); + break; + case ZT_RADPAR_REMMODE: + rad->remmode[chan->chanpos - 1] = stack.p.data; + break; + case ZT_RADPAR_REMCOMMAND: + i = 0; + /* set UIOA and UIOB for output */ + spin_lock_irqsave(&rad->lock,flags); + byte1 = __pciradio_getcreg(rad,0xe); + mask = (1 << (chan->chanpos - 1)) | + (1 << (chan->chanpos + 3)); + byte2 = byte1 & (~mask); + i = (byte2 != byte1); + __pciradio_setcreg(rad,0xe,byte2); + byte1 = __pciradio_getcreg(rad,8); + mask = 1 << (chan->chanpos - 1); + byte2 = byte1 | mask; + i = (byte2 != byte1); + __pciradio_setcreg(rad,8,byte2); + spin_unlock_irqrestore(&rad->lock,flags); + if (i || (jiffies < rad->lastremcmd + 10)) + interruptible_sleep_on_timeout(&mywait,10); + rad->lastremcmd = jiffies; + if (rad->remmode[chan->chanpos - 1]) + { + rbi_out(rad,chan->chanpos - 1,(unsigned char *)&stack.p.data); + } + break; default: spin_unlock_irqrestore(&rad->lock,flags); return -EINVAL; @@ -1243,7 +1276,7 @@ static int pciradio_hooksig(struct zt_chan *chan, zt_txsig_t txsig) break; } if (debug) - printk("pciradio: Setting Radio hook state to %d\n", txsig); + printk("pciradio: Setting Radio hook state to %d on chan %d\n", txsig, chan->chanpos); return 0; } @@ -1280,6 +1313,13 @@ static int pciradio_initialize(struct pciradio *rad) return 0; } +static void wait_just_a_bit(int foo) +{ + long newjiffies; + newjiffies = jiffies + foo; + while(jiffies < newjiffies); +} + static int pciradio_hardware_init(struct pciradio *rad) { unsigned char byte1,byte2; @@ -1367,11 +1407,16 @@ unsigned long endjif; printk("Xilinx Chip successfully loaded, configured and started!!\n"); - rad->p0save = 0xf0; - __pciradio_setcreg(rad,0,rad->p0save); + rad->pasave = 0; + __pciradio_setcreg(rad,0xa,rad->pasave); + + __pciradio_setcreg(rad,8,0); + __pciradio_setcreg(rad,9,0x55); + __pciradio_setcreg(rad,0xe,0); + rad->pfsave = 0; + __pciradio_setcreg(rad,0xf,rad->pfsave); + - rad->p1save = 0; - __pciradio_setcreg(rad,1,rad->p1save); /* Back to normal, with automatic DMA wrap around */ outb(0x30 | 0x01, rad->ioaddr + RAD_CNTL); @@ -1402,20 +1447,20 @@ unsigned long endjif; for(x = 0; x < rad->nchans; x++) { - mx828_command(rad,x, MX828_GEN_RESET, &byte1, &byte2 ); + mx828_command_wait(rad,x, MX828_GEN_RESET, &byte1, &byte2 ); byte1 = 0x3f; byte2 = 0x3f; - mx828_command(rad,x, MX828_AUD_CTRL, &byte1, &byte2 ); + mx828_command_wait(rad,x, MX828_AUD_CTRL, &byte1, &byte2 ); byte1 = 0; - mx828_command(rad,x, MX828_SAUDIO_SETUP, &byte1, &byte2 ); + mx828_command_wait(rad,x, MX828_SAUDIO_SETUP, &byte1, &byte2 ); byte1 = 0; - mx828_command(rad,x, MX828_SAUDIO_CTRL, &byte1, &byte2 ); - byte1 = 0xc0; - mx828_command(rad,x, MX828_GEN_CTRL, &byte1, &byte2); + mx828_command_wait(rad,x, MX828_SAUDIO_CTRL, &byte1, &byte2 ); + byte1 = 0xc8; /* default COR thresh is 2 */ + mx828_command_wait(rad,x, MX828_GEN_CTRL, &byte1, &byte2); + rad->corthresh[x] = 2; } - return 0; } @@ -1489,6 +1534,7 @@ static int __devinit pciradio_init_one(struct pci_dev *pdev, const struct pci_de ifaces[x] = rad; memset(rad, 0, sizeof(struct pciradio)); spin_lock_init(&rad->lock); + spin_lock_init(&rad->rbilock); rad->nchans = 4; rad->ioaddr = pci_resource_start(pdev, 0); rad->dev = pdev; diff --git a/pciradio.rbt b/pciradio.rbt index e514052..2cfa6a2 100755 --- a/pciradio.rbt +++ b/pciradio.rbt @@ -1,9 +1,9 @@ Xilinx ASCII Bitstream Created by Bitstream E.33 -Design name: pciradio.ncd +Design name: pciradio_xilinx.ncd Architecture: spartan2 Part: 2s30vq100 -Date: Mon Nov 22 18:40:36 2004 +Date: Mon Dec 20 20:30:21 2004 Bits: 336768 11111111111111111111111111111111 10101010100110010101010101100110 @@ -12,7 +12,7 @@ Bits: 336768 00110000000000010110000000000001 00000000000000000000000000001000 00110000000000010010000000000001 -00100000100000000011111100101101 +00000000100000000011111100101101 00110000000000001100000000000001 00000000000000000000000000000000 00110000000000001000000000000001 @@ -86,198 +86,630 @@ Bits: 336768 00000000000000000000000000000000 00000000000000000000010000000000 00000000000000000000000000000000 -11111111000110100000000000000000 +11111111000110100000000000001010 +00000000000000101000000000000001 +11100000000000000111100000000000 +00011110000000000000001110000000 +00000000111000000000000001001000 +00000000000101000000000000000101 +00000000000000010100000000000000 +01010000001111111100010000000000 +00000000000000000000000000000000 +11000000010011011111010000010100 +11011111000001000011001111000000 +00011110111100100000001111111101 +10100000110011010000000000111011 +11100000000011111111100000000011 +11111110000000001111111100000000 +00110011000000000000110011100000 +00000011001100000000000001110000 00000000000000000000000000000000 -00000000000000000010000000000000 +10000000000000001110011000000000 +10001111011000000010001111110101 +00001011111111010000001011111101 +00000000100010110000000000101110 +11100000000010111011100000000010 +11101110000000001011101110000000 +00100010111000000100100010111000 +00000011001000000000010000110000 00000000000000000000000000000000 -00000000000000000000000000100000 +10001000000001011100010000000000 +10010011010010000010000011000000 +00001010001100000000001011001100 +10000000100000000000000000101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00100000110000000100100100010000 +00000010011000100000000101110000 00000000000000000000000000000000 +11000000000101011010010000000000 +10001011000000000000001011000000 +00011011101100000000001011101100 +00000010100010110000001000101110 +11000000000010111011000000000010 +11101100000010001011101100000000 +10100010110000000000100110010010 +00000010001100000000010001100000 00000000000000000000000000000000 -00000000001111111100010000000000 +00000000000101011110010000000000 +11011011000000010001001011000000 +01001110101100000000001111101100 +00000000110010110100100000111110 +11000000000011111011000000000011 +11101100000000001111001100000000 +00110010000000000000110110100000 +00000011010000000000010001110000 +00000000000000000000000000000000 +11100000000000010010011100100000 +11101011000000000011111111000000 +00001111111100000000001111011100 +00000000111111110100000000111111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111101110000000000111010111000 +00000011111110000000000001100000 00000000000000000000000000000000 -11000000000001011111111000000000 -11111111100000000011111111100000 -00001111110100000000001101111110 +01000000000100001010110000010000 +11100011000000000011101011000000 +01001101101100000010001111101100 +00000000111010100010000000111110 +11000001000011111011000000000011 +11101100000000001111101100000000 +00111110110000000000110000010000 +00000011000100000000010000100000 +00000000000000000000000000000000 +11001000010001010000111100000000 +10001111000000100010001111000000 +00001000111100000000001011111100 +00000000100010110000000000101110 +11000000000010111011000000000010 +11101100000000001011111100000000 +00101110110000010000100010011101 +00000010101100100000000001000000 +00000000000000000000000000000000 +11100000000001010100011001000000 +10110011000000100010100011000000 +00001001001100000000011011001100 +00000000101000000100000001101100 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100000000000010100000101100 +00000010101110000000000001010000 +00000000000000000000000000000000 +00100000000000010001011001000000 +10000111100001000010100011100000 +00001000011110001000001011011110 +01000000000001011001000001101101 +11100000000010110111100000000010 +11011110000000001011011110000000 +00101100111000000000100001111000 +00000010100110000000000001000000 +00000000000000000000000000000000 +01001000000000000000110000000000 +11100011000000011011100011000001 +00001101001100000000001111101100 +00000000111000000000000000111100 +11000000000011110011000000000011 +11001100010000001111001100000000 +00111100110000000000110000110000 +10000011000100100000001000000000 +00000000000000000000000000000000 +01000000000101011011110000000000 +11111111110010000011011111000010 +00001110111100001000001111111100 +00110001111111010000000000110111 +11000000000011111111000000000011 +11111100000000001111111100000000 +00111111110000000000111111110001 +00000011010100000000011001100000 +00000000000000000000000000000000 +10101000000001011110010000000100 +11101011010000010011011011101000 +00001110101100100000001110101100 +00000000110010101000000000111010 +11000000000011111011000000000011 +11101100000000001111101100000000 +00111110000000000000110110100000 +00000011001010100000000001110000 +00000000000000000000000000000000 +01001000000100011001010000000000 +11001111100000000010000111001100 +00001001011100110010001011011100 +01000000100001110000000000100001 +11000000000010110111000000000010 +11011100000000001011011100100000 +00101101110000001100100001110000 +00000010000100100000010001100000 +00000000000000000000000000000000 +11000000000000001001111000000000 +10100111101000001010010011100000 +00001010011110000000001010001110 +10000000100000101000000000100001 +11100000000010110111100000000010 +11011110000000001011011110010000 +00101100111000000010100000111000 +00000010001100000000000000100000 +00000000000000000000000000000000 +01001000000101001100110000000000 +10001011000000000010000011000000 +00001001001100000000001011001100 +00000000100000111000000000100000 +11000000000010110011000000000010 +11001100000000001011001100000000 +00101100110000000010100000110000 +00001010000100100000010000110000 +00000000000000000000000000000000 +11101000000101011010101010000000 +11101010000000000011011010000000 +00001110101000000000001110101000 +00000000110011101010000000111010 +10000000100011111010000000000011 +11101000000000001111001000000000 +00111111100000000100110011100000 +00001011001110100000010001100000 +00000000000000000000000000000000 +01001000000000001110000100000000 +11101000000000000011111000000000 +00001110000000000000001111000000 +00001010111110000000001010111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111100000000000000111110000000 +01000011110100100000000000110000 +00000000000000000000000000000000 +00001000000100001100010100000000 +11011001000000000011001001000000 +00001101100100000000001000100100 +00000000110010011000000000001110 +01000000000011111001000000000011 +11100100000000000101100100000000 +00110010010000000010110000010000 +00000011000000100000010000110000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10001001000000001010001001000000 +00001001100110010000001010100100 +00000000100010011001000000101110 +01000000000010111001000000000010 +11100100000001001001100100000000 +00100010010100000000100010010100 +00000010001000000000000000010000 +00000000000000000000000000000000 +00011000000001010010010000100000 +10011001000000000010001001000000 +00011001100100000000001010100100 +00000010100010010000000000101110 +01000000100010111001000000000010 +11100100000000001001100100000000 +10100010010000100000100010010000 +10000010000001100000000001000000 +00000000000000000000000000000000 +00001000000000001000010010100010 +10000001001010000010000001001010 +00011001000100101000001010000100 +10100000000000010010001000001100 +01000000000010110001000000000010 +11000100000000001001000100100000 +00100000010010000000100000010010 +00011000000000100000000100000000 +00000000000000000000000000000000 +10111000000011001110000010000000 +11011000001000000011001000001000 +00001101100000100000001110100000 +10000000010010000101000000011110 +00000000100011111000000000000011 +11100000000000001101100000000000 +00110010000000000000110010000000 +00000001001011100000001101010000 +00000000000000000000000000000000 +10011000000011011101010000000000 +11111001001010000011111001000000 +00001111100100000000001111100100 +10100000111111010001000000111110 +01000000000011111001000000000011 +11100100000000001111100100010000 +00111111010001000100111111010001 +00000011111001100000011001110000 +00000000000000000000000000000000 +00011000000001011111011010000001 +11111001001010010011011001001000 +10011111110100000000001111100100 +00000000110011010001000000110010 +01000000000011111001000000000011 +11100100000000001111110100000000 +00111110010000000000110010010000 +10000011111001100000000001110000 +00000000000000000000000000000000 +00111000000100001110000000001001 +10110000001000000010001000000100 +00011011100001000000001111100011 +11000010110010000001000010100010 +00000000000011101000000000000010 +11100000000000001011100000000000 +00111110000000000000100011000100 +00000010110011100000010000110000 +00000000000000000000000000000000 +00001000000001011100010100000000 +10110001001010000010010001000000 +00001011000100010000001011000100 +00110000100000010000000000100000 +01000000000010100001000000000010 +11000100000000001011000101000000 +00101101011001000000100001011000 +00000010110000100000000101110000 +00000000000000000000000000000000 +00011000000101011010010010000000 +10110001000000000010001001000000 +01001011100100000000001011100100 +00000000100010010000000000100010 +01000000000010101001000000000010 +11100100000000001011100100000000 +00101110011000010000100011010010 +00000010110001100000010001100000 +00000000000000000000000000000000 +10100000000101011110010000000000 +10111001000000010011011001000000 +01001011100100000000011111100100 +00000000110010010100100000110010 +01000000000011101001000000000011 +11100100000000001111100100000000 +01111110010100000000110010010100 +00000011111010000000010001110000 +00000000000000000000000000000000 +00101000000000001010010000000000 +11111001000000000011111001000000 +00001111100100000000011110100100 +00000000111110010000000000111110 +01000000000011101001000000000011 +11100100000000001111100100000000 +00111000010000000010111110010000 +00000011110010100000000001100000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11101000000000010011001000000000 +00001111100000000100001111100000 +00000000110000000100000000111110 +00000000000011111000000000000011 +11100000000000001111100000000000 +00111110000000000000110011000000 +01000011110010100000010000100000 +00000000000000000000000000000000 +00101000000001000011100100000000 +10111010000000000010001010000000 +00001011101010100000001011101000 +00000000100011100001100000101110 +10000000000010111010000000000010 +11101000000000001011111000000000 +00101110100000000000100010100000 +00000010110010100000000001000000 +00000000000000000000000000000000 +00101000000001010100110010000000 +10110011000000001010000011000000 +00001011001100000000001010101100 +00000000100000111100000000101100 +11000000000010110011000000000010 +11001100000000001011001000000000 +00101000111000000000100000100000 +00000010110010100000000001010000 +00000000000000000000000000000000 +00100000000000010001100100000001 +10110111001000000010000111000000 +00001011011100000000011011011100 +00000010100001110000000000101101 +11000000000010110111000000000010 +11011100000000001011011000000000 +00101101110100000000100001100000 +00000010111010000000000001000000 +00000000000000000000000000000000 +00101000000010000001111000000000 +11110111100010000011000111100000 +00001111011110000000001111001110 +00000000110001111000000000111101 +11100000000011110111100000000011 +11011110000000001111011010000000 +00111100101000000010110001111000 +00000011111010100000001000000000 +00000000000000000000000000000000 +00001000000011011010110000000000 +11111011100000000011111011000000 +00001111101100000000001111101100 +00000000111110110000000000111110 +11000000000011111011000000000011 +11101100000000001111101000000000 +00111110100000000000111110110000 +00010011110000100000011001100000 +00000000000000000000000000000000 +01000000000001011111111000000000 +11101111100011000011001111100000 +00001111011110000000001111111110 +00001000111111111000000000110011 +11100000000011111111100000000011 +11111110000000001111111010000000 +00111111011000000000111111101000 +00000011110100000000000001110000 +00000000000000000000000000000000 +10101000000100011001110001000000 +10001111000000000010000111000000 +00001111010100000000001000011100 +00000000101111110000000000110101 +11000000000010110111000000000010 +11011100000000001001011000000000 +00101101010001001000101101100000 +00000010111010100000010001100000 +00000000000000000000000000000000 +00000000000000001001010000000000 +10100111100000000010000111000000 +00001011011100000000001010011100 +00000000101001110000000000100001 +11000000000010110111000000000010 +11011100000000001011011000000000 +00101101000000000000101101111000 +00000010110001000000000000100000 +00000000000000000000000000000000 +01100000000101001100110000000000 +10000011000000001010000011000000 +00001010000100000000001000101100 +00000000101100111100000000100100 +11000000000010110011000000000010 +11001100000000001001001000000000 +00101100000000100000101100110100 +00000010110110000000010000110000 +00000000000000000000000000000000 +10101000000101011010110000000000 +11101111000000000011001011000000 +00001011101100000000001110111100 +00000000111010000010001001110010 +11000000000011111011000000000011 +11101100000000001111101000000000 +00111110111100000000111110000000 +00000010111010100000010001100000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11110011000000000011111011000000 +00001111101100000000001110101100 +00000000011110000000000000111110 +11000000000011111011000000000011 +11101100000000001101101000000000 +00111100111000000000111100000000 +00000011111001010000000000110000 +00000000000000000000000000000000 +00000001000100001111111100000000 +11011111000000001011001111000000 +00001111010100010000001100111100 +00000000110011101000100001110011 +11000000000011111111000000000011 +11111100000000001111011000000000 +00110011100000000010110011010001 +10001011001000000000010000110000 +00000000000000000000000000000000 +10000001000001000100111100100000 +10001011000000000010001011000000 +00001001100100000001001000101100 +00000000100010101101000001101010 +11000000000010011011000000000010 +11101100000000001011101000000000 +00101010100000010000100010010000 +00000011011000000100000000010000 +00000000000000000000000000000000 +10000000000001010110110000000000 +10011011000000000010001011000000 +00001011101100000000001010101100 +00000010100000000000101000100010 +11000000000010111011000000000010 +11101100000000001011101000000000 +00100010010000000000100010000000 +00000010001000000000000001000000 +00000000000000000000000000000000 +00001000000101000000110000000000 +10000011000000000010000011000000 +00001011000100000000111000001100 +00000000100000010000000000101000 +11000000000010010011000000000010 +11001100000000001011001000000000 +00101000010000000000100000000000 +00001010010000100000000100000000 +00000000000000000000000000000000 +00000000000010010110110000010000 +11011111000000000011001011000000 +00001111100100000000001110101100 +00001001110010000000000000100010 +11000000000011111011000000000011 +11101100000000001111101000000000 +00110010000000000000110010010000 +00000011001000000000001101010000 +00000000000000000000000000000000 +10100000000101011101110000000000 +11111111000000000011111111000000 +00001101110100000000001111111100 +00000000111111010000000000001111 +11000000000011111111000000000011 +11111100000000001111111000000000 +00111111000000000000111111010000 +00000011101010000000011001110000 +00000000000000000000000000000000 +11000000010001011101111000001000 +11011110100000000011110101100000 +00001101111110000000001111111100 00000000111111111000000000111111 11100000000011111111100000000011 11111110000000001111111110000000 -00111111111000000000111111111000 -00000011111100000000000001110000 +00111111111000000000110011000000 +00000011001100000000000001110000 00000000000000000000000000000000 10000000000100001110111000000000 -10111011100000000010111011100000 -00001011100110000000001000101110 +10001000100000010010111001100000 +01001000101110000000001011111110 00000000101110111000000000101110 11100000000010111011100000000010 11101110000000001011101110000000 -00101110111000000000101110111000 -00000010111000000000010000110000 +00101110110000000010100010000100 +00000010001000000000010000110000 00000000000000000000000000000000 10001000000001011100110000000000 -10110011000000000010110011000000 -00001011000100000000001001001100 -00000000101100110000000000101100 +10010000100001000010101011000000 +00001011001100000000001011001100 +01000000101100110000000000101100 11000000000010110011000000000010 11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111000100000000101110000 +00101100110000000010100000000100 +00000010001000100000000101110000 00000000000000000000000000000000 -11000000000101011010110000000000 -10111011000000000010111011000000 -00001011101110001000001000101100 +11000000000101011010110000000010 +10001000000000000010111011100010 +00001010101100000000001011101100 00000000101110110000000000101110 11000000000010111011000000000010 11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111100000000010001100000 +00101110110000000000100010000000 +10000010001100000000010001100000 00000000000000000000000000000000 01000000000101011110110000000000 -11111011000000000011111011000000 -00001111001111000000001101101100 +11011011000000000011110001110000 +00001111101100000000001111101100 00000000111110110000000000111110 11000000000011111011000000000011 11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110000000000010001110000 +00111100110000000000110010100100 +00001011000100000000010001110000 00000000000000000000000000000000 -11100000000000011011110000000000 -11111111000000000011111111000001 -00001111111100000000001111111100 +11100000000000011011110000100000 +11111111000000000011111101000001 +00001101111100000000001111111100 00000000111111110000000000111111 11000000000011111111000000000011 11111100000000001111111100000000 -00111111110000000000111111110000 +00111111110000001000111111101001 00000011111110000000000001100000 00000000000000000000000000000000 01000000000100001010110000000000 -11111011000000000011111011000000 -00001111100101001000001110101100 +11011000000000000011111011000000 +00001110101100000000001111101100 00000000111110110000000000111110 11000000000011111011000000000011 11101100000000001111101100000000 -00111110110000000000111110110000 +00111110110010000000111101100100 00000011110100000000010000100000 00000000000000000000000000000000 -11001000000001010010110000000000 -10111011000000000010111011000000 -00001011101101000000001000101100 +11001000000001010000110100000000 +10001010000000000010111011001000 +00000000101100000000001011111100 00000000101110110000000000101110 11000000000010111011000000000010 11101100000000001011101100000000 -00101110110000000000101110110000 +00101110110000001000101110000101 00000010111100100000000001000000 00000000000000000000000000000000 -11100000000001010100110000000000 -10110011000000000010110011000000 -00001011000101000000001010001100 +11100000000001010100110101000000 +10010010000000000010110011100010 +00000010001100000000001011001100 00000000101100110000000000101100 11000000000010110011000000000010 11001100000000001011001100000000 -00101100110000000000101100110000 +00101100110100000000101100010100 00000010111110000000000001010000 00000000000000000000000000000000 -00100000000000010001111000000000 -10110111100000000010110111100000 -00001011110110000000001000011110 +00100000010000010001111000000000 +10000100100000000010111111100000 +00001000011110000000001011011110 00000000101101111000000000101101 11100000000010110111100000000010 11011110000000001011011110000000 -00101101111000000000101101111000 -00000010110110000000000001000000 +00101101111000000000101101011000 +00100010110010000000000001000000 00000000000000000000000000000000 01001000000010000000110000000000 -11110011000000000011110011000000 -00001111000100000000001110001100 -00000000111100110000000000111100 +11010001001000000011110011001000 +00011110001100000000001111001100 +11000000111100110000000000111100 11000000000011110011000000000011 11001100000000001111001100000000 -00111100110000000000111100110000 +00111100110000000000111100010000 00000011110100100000001000000000 00000000000000000000000000000000 -01000000000111011011110000000000 -11111111000000000011111111000000 -00001111010000010000001111111100 -00000000111111110000000000111111 +01000000000111011011110001000000 +11111101000000000111111111000000 +01001111111100010000001111111100 +01000000111111110000000000111111 11000000000011111111000000000011 11111100000000001111111100000000 -00111111110000000000111111110000 +00111111110000000000111111110001 00000011110100000000011001100000 00000000000000000000000000000000 -10101000000001011110110000000000 -11111011000000000011111011000000 -00001111001100000000001110101100 -00000000111110110000000000111110 +10101000010011011110111000000000 +11001011000010000011111011000000 +00011111101100000000001111101101 +01100000111110110000000000111110 11000000000011111011000000000011 11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111010100000000001110000 +00111110110000000000111110111010 +00010011001010100000000001110000 00000000000000000000000000000000 -01001000000100011001110000000000 -10110111000000000010110111000000 -00001110011100000000001101011100 +01001000000000011001110000000000 +10000111010001000010110111000000 +00001011011100000000001011011100 00000000101101110000000000101101 -11000000000010010111000000000010 +11000000000010110111000000000010 11011100000000001011011100000000 -00101101110000000000101101110000 -00000010110100100000010001100000 +00101101110000001000101100110000 +10000010000100100000010001100000 00000000000000000000000000000000 -11000000000000001001111000000000 -10110111100000000010110111100000 -00001011111110000000001010011110 -00000000101101111000000000101101 +11000000010000001001111000000000 +10000101100000000010110111100000 +00001011011110000001001011011110 +00001000101101111000000000101101 11100000000010110111100000000010 11011110000000001011011110000000 00101101111000000000101101111000 -00000010111100000000000000100000 +00000010001100000000000000100000 00000000000000000000000000000000 01001000000101001100110000000000 -10110011000000000010110011000000 -00001010001010000000001001001100 +10000011111001100010110010010000 +00001011001100000000001011001100 00000000101100110000000000101100 -11000000000010010011000000000010 +11000000000010110011000000000010 11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110100100000010000110000 +00101100110000000000101100110001 +00000010000100100000010000110000 00000000000000000000000000000000 11101000000101011010100000000000 -11111010000000000011111010000000 -00001111111010100000001110101000 +11001010000010000011111110000000 +10001011101000000000001111101000 00000000111110100000000000111110 -10000000000011111010000000000011 +10000000000011111010000000000010 11101000000000001111101000000000 -00111110100000000000111110100000 -00000011111110100000010001100000 +00111110100000000000111110101000 +00001011001110100000010001100000 00000000000000000000000000000000 -01001000000000001110000000000000 -11111000000000000011111000000000 -00001110100001000000001111100000 +01001000000000001110000000000010 +11111000010000000011111000000000 +11001111100000000000001111100000 00000000111110000000000000111110 00000000000011111000000000000011 11100000000000001111100000000000 -00111110000000000000111110000000 +00111110000000000000111110000100 00000011110100100000000000110000 00000000000000000000000000000000 -00001000000100001110010000000000 -11111001000000000011111001000000 -00001111000100000000001100100100 -00000000111110010000000000111110 -01000000000010111001000000000011 +00001000000100001110010010011100 +11111001110001010011111001000110 +01001111100100000100001111100100 +00010000111110010000000000111110 +01000000000011111001000000000011 11100100000000001111100100000000 -00111110010000000000111110010000 +00111110010000000000111110110000 00000011110000100000010000110000 00000000000000000000000000000000 -10000000000001000110010000000000 -10111001000000000010111001000000 -00001011100111010000001000100100 +10000000010001000110010000000000 +10111011000000000010111001010000 +00001011100100000000001011100100 00000000101110010000000000101110 01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 +11100100000101001011100100000000 +00101110010110001000101110010110 00000010111000000000000000010000 00000000000000000000000000000000 00011000000001010010010000000000 -10111001000000000010111001000000 -00001011100100000000001000100100 +10111001000000000010111001000010 +00001011100100000100001011100100 00000000101110010000000000101110 01000000000010111001000000000010 11100100000000001011100100000000 @@ -286,790 +718,790 @@ Bits: 336768 00000000000000000000000000000000 00001000000001000000010000000000 10110001000000000010110001000000 -00001011000100101000001000000100 -00000000101100010000000000101100 +00001011000100000010001011000100 +10100000101100010000000000101100 01000000000010110001000000000010 11000100000000001011000100000000 -00101100010000000000101100010000 -00000010110000100000000100000000 +00101100010000000000101100010010 +00010010110000100000000100000000 00000000000000000000000000000000 -10111000000011010110000000000000 -11111000000000000011111000000000 -00001111101000100000101100100000 -00000000111110000000000000111110 +10111000000001010110100000000000 +11111010000001000011111000000000 +00001111100000000000001111100000 +10000000111110000000000000111110 00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 +11100000000000001111100000000001 +00111110000101000000111110000101 00000011111011100000001101010000 00000000000000000000000000000000 -10011000000111011110010000000000 -11111001000000000011111001000000 -01001111110100000000000111100100 +10011000000101011100010000000000 +11111001000000000011111111000000 +00001111100100000010001111100100 00000000111110010000000000111110 01000000000011111001000000000011 11100100000000001111100100000000 -00111110010000000000111110010000 +00111110010000000000111110010001 00000011111001100000011001110000 00000000000000000000000000000000 -00011000000001011110010000000000 -11111001000000000011111001000000 -00001111010100101000001110100100 -00000000111110010000000000111110 +00011000010001011011010000001000 +11111001000000000011110101000000 +00101100100100000000001111100100 +00100000111110010000000000111110 01000000000011111001000000000011 11100100000000001111100100000000 -00111110010000000000111110010000 -00000011111001100000000001110000 +00111110010100000000111110010100 +10000011110001100000000001110000 00000000000000000000000000000000 00111000000100001110000000000000 -10111000000000000010111000000000 -00001011100001000000001000100000 +10111000000001000010111000000000 +00001000100000000000001011100001 00000000101110000000000000101110 00000000000010111000000000000010 11100000000000001011100000000000 -00101110000000000000101110000000 +00101110000000001000101110000110 00000010110011100000010000110000 00000000000000000000000000000000 -00001000000001010100010000000000 -10110001000000000010110001000000 -00001011000100000000001010000100 +00001000000001001100010000000000 +10110001000000000010111001000000 +00011001000100000000001011000100 00000000101100010000000000101100 01000000000010110001000000000010 11000100000000001011000100000000 -00101100010000000000101100010000 +00101100010000000000101100010010 00000010110000100000000101110000 00000000000000000000000000000000 -00011000000101011010010000000000 -10111001000000000010111001000000 -00000011100100000000001010100100 -00000000101110010000000000101110 +00011000000101010010010000000000 +10111001100000100010111011100000 +00001001100100000000001011100100 +00000100101110010000000000101110 01000000000010111001000000000010 11100100000000001011100100000000 -00101110010000000000101110010000 +00101110010000000000101110011000 00000010110001100000010001100000 00000000000000000000000000000000 10100000000101011110010000000000 -11111001000000000011111001000000 -00001111100100100000001110100100 -00000000111110010000000000111110 +11111001001000000010110001110000 +00100101100100000000001111100100 +00000000111110010000000000101110 01000000000011111001000000000011 11100100000000001111100100000000 00111110010000000000111110010000 00000011111010000000010001110000 00000000000000000000000000000000 00101000000000011010010000000000 -11111001000000000011111001000000 -10001111000110010000001101100100 +11111001000000010011111001000000 +00000110100100000000001111100100 00000000111110010000000000111110 01000000000011111001000000000011 11100100000000001111100100000000 -00111110010000000000111110010000 +00111110110000000000111110110000 00000011110010100000000001100000 00000000000000000000000000000000 00101000000100001010000000000000 -11111000000000000011111000000000 -00001111100000000000001110100000 -00000000111110000000000000111110 +11111000011000000011111000010010 +00101100100000000000001111100000 +00000100111110000000001000111110 00000000000011111000000000000011 11100000000000001111100000000000 -00111110000000000000111110000000 -00000011110010100000010000100000 +00111110001000000000111110001000 +01000011000010100000010000100000 00000000000000000000000000000000 -00101000000001010010100000000000 -10111010000000000010111010000000 -00001011111000000000001000101000 +00101000000001010011101000010000 +10111010100000000010111110111000 +00001000101000000000001011101000 00000000101110100000000000101110 10000000000010111010000000000010 11101000000000001011101000000000 00101110100000000000101110100000 -00000010110010100000000001000000 +00001010000010100000000001000000 00000000000000000000000000000000 -00101000000001010100110000000000 -10110011000000000010110011000000 -00001011001100100000001010001100 +00101000000001010100101000100000 +10110011110000000010110011000000 +00011011001100000000001011001100 00000000101100110000000000101100 11000000000010110011000000000010 11001100000000001011001100000000 00101100110000000000101100110000 -00000010110010100000000001010000 +00100010000010100000000001010000 00000000000000000000000000000000 -10100000000000010001110000000000 -10110111000000000010110111000000 -00001011011100000000001000011100 +10100000000000010001110000100000 +10110111010000100010111111000000 +00001011011100000000001011011100 00000000101101110000000000101101 11000000000010110111000000000010 11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010000000000001000000 +00101101110000001000101101111000 +00000010001010000000000001000000 00000000000000000000000000000000 10101000000010000001111000000000 -11110111100000000011110111100000 -00001111011110000000001110011110 +11110100100000000011110111100000 +00001111011110000010001111011110 00000000111101111000000000111101 11100000000011110111100000000011 11011110000000001111011110000000 -00011101111000000000111101111000 -00000011111010100000001000000000 +00111101111001000000111100111000 +00000011001010100000001000000000 00000000000000000000000000000000 00001000000111011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 +11111000001000000011111011000000 +00001100101100000000001111101100 00000000111110110000000000111110 11000000000011111011000000000011 11101100000000001111101100000000 -00110110110000000000111110110000 +00111110110010000000111110100110 00000011110000100000011001100000 00000000000000000000000000000000 -00000000000001011111111000000000 -11111111100000000011111111100000 -00001111011010000000001110111110 -00000000111111111000000000111111 +00000000010001011111111000011000 +11111111110000000011111111101001 +00001111111110000000001111111110 +00000000110011111000000000111111 11100000000011111111100000000011 11111110000000001111111110000000 -00111111111000000100111111111000 -00010011110100000000000001110000 +00111111111000000000111111111100 +00000011000000000000000001110000 00000000000000000000000000000000 10101000000100011001110000000000 10110111000000000010110111000000 -00001011011101000000001000011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001110011100000000 +00001011011100000000001111011100 +00000000110101110000000000101101 +11000000000010110111000100000010 +11011100000000001011011100000000 00101101110000000000101101110000 -00000010111010100000010001100000 +00000011011010100000010001100000 00000000000000000000000000000000 00000000000000001001110000000000 -10110111000000000010110111000000 -00001011011100000000001010011100 -00000000101101110000000000101101 +10110111000000000010110111001000 +00011010011100000000001011011100 +00000000100001110000000000101101 11000000000010110111000000000010 11011100000000001011011100000000 00101101110000000000101101110000 -00000010110001000000000000100000 +00000010000000000000000000100000 00000000000000000000000000000000 -00100000000101001100110000000000 -10110011000000000010110011000000 -00001011001100001000001000001100 -00000000101100110000000000101100 +00100000000101001100010000001000 +10110011100100000010110010000000 +00001011001100000000001010001100 +00000000100100110000000000101100 11000000000010110011000000000010 -11001100000000001010001100000000 +11001100000000001011001100000000 00101100110000000000101100110000 -00000010110110000000010000110000 +00000010010010000000010000110000 00000000000000000000000000000000 -10101000000101011010110000000000 -11111011000000000011111011000000 -00001111101110001000001110101100 -00010000111110110000000000101110 +10101000000101011000110000000000 +11111011100000000011111001010000 +00001110101100000000001011101100 +00000000110010110000000000111110 11000000000011111011000000000011 11101100000000001111101100000000 00111110110000000000111110110000 -00000010111010100000010001100000 +00000011001010100000010001100000 00000000000000000000000000000000 -10000000000000001110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 +10000000000000001110110100000000 +11111011000001000011111011010001 +00001111101100000000001111001100 00000000111110110000000000111110 11000000000011111011000000000011 11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111001000000000000110000 +00111110110000000000111110111000 +00000011111000000000000000110000 00000000000000000000000000000000 -00000001000100001111110000000000 -11111111000000000011111111000000 -00001111110100000000001100111100 -00000000111111110000000000111011 +00000001000100001111111001000000 +11001100000000000011001111000010 +00001110111100000001001111101100 +00010000110011110000000000111111 11000000000011111111000000000011 11111100000000001111111100000000 -00111111110000000000111111110000 -00000011111000000000010000110000 +00111111110000000000110011110000 +00000011110000000100010000110000 00000000000000000000000000000000 -10000001000001000110110000000000 -10111011000000000010111011000000 -00001011000111000000001010101100 -00000000101110110000000000101110 +10000001010001000110110100000000 +10000000000000000010100011110000 +00001000101100000001011011101100 +00000000101010110000000000101110 11000000000010111011000000000010 11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111000010100000000010000 +00111010110100000010100010110000 +00000010111000000100000000010000 00000000000000000000000000000000 -10000000000001010010110000000000 -10111011000000000010111011000000 -00001011101110000000001000101100 -00000000101110110000000000101110 +10000000000001010010110100000000 +10001011000001000010001011100000 +01011010101100000000001011101100 +00000000100010110000000000101110 11000000000010111011000000000010 11101100000000001011101100000000 -00101110110000000000101110110000 +00101100110100000000100010110000 00000010111000000000000001000000 00000000000000000000000000000000 -00001000000001000000110000000000 -10110011000000000010110011000000 -00001011001100000000001010001100 -00000000101100110000000000101100 -11000000000010110011000000000010 +00001000000001000000110000000100 +10001011000000010010101011000000 +00001000001100000000001011001100 +00000000101000110000000000101100 +11000000000110110011000000000010 11001100000000001011001100000000 -00101100110000000000101100110000 +00101000110000000000100000111000 00000010110000100000000100000000 00000000000000000000000000000000 -00000000000011010110110000000000 -11111011000000000011111011000000 -00001111100100000000001100101100 -00010000111110110000000000111110 +00000000000011000110110000000000 +11001011000000000011001011000000 +00001110101100000000001011101100 +00001000110010110000000000111110 11000000000011111011000000000011 11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111000000000001101010000 +00111110110000000000110000110000 +00000011110000000000001101010000 00000000000000000000000000000000 -10100000000111011111110000000000 +10100000000011011011110000010010 11111111000000000011111111000000 -00001111110100000000001111111100 +00001111111100000000001111111100 00000000111111110000000000111111 11000000000011111111000000000011 11111100000000001111111100000000 -00111111110000000000111111110000 +00111011100000000000111111110000 00000011111010000000011001110000 00000000000000000000000000000000 11000000000001011111111000000000 11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111000000000111111111000 -00000011111100000000000001110000 +00001111011100000000101100111101 +00000100111011110001000000110011 +11100000000011111100100000000011 +11111110000000001111111110010000 +00110011010010000000110011110000 +00000011001100000000000001110000 00000000000000000000000000000000 10000000000100001110111000000000 -11101011100000000010111011100000 -00001011101110000000001011101110 -00000000101110111000000000101110 -11100000000010111011100000000010 -11101110000000001011101110000000 -00101110111000000000101110111000 -00000010111000000000010000110000 +10111011100000000010111011100000 +00001011111111000010001000111101 +00001000100011110111010000100010 +11000000000010111001100000000010 +11101110000000001011101100000010 +00100010101100000000100010101100 +00000010001000000000010000110000 00000000000000000000000000000000 10001000000001011100110000000000 10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111000100000000101110000 +00001011001100010000001000001101 +10000100101000110010000010100000 +11000000000110110001000000000010 +11001100000000011011001100000000 +10100000000001000000100000100100 +00000010001000100000000101110000 00000000000000000000000000000000 11000000000101011010110000000000 -10101011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111100000000010001100000 +10111011000000000010111011000000 +00001011101100000000101000101100 +00000000100000110000000000100010 +11000000000110111001100000000010 +11101100000000001011001100000000 +00100010100000000000100010110000 +00000010001100000000010001100000 00000000000000000000000000000000 01000000000101011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 +11111011000000000010111011000000 +00001111101100000000001000101100 +00000000111010110000010000110010 +11000000100011111001110000000001 11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110100000000010001110000 +00110010100000000010110010010000 +00001011000100000000010001110000 00000000000000000000000000000000 11100000000000011011110000000000 11111111000000000011111111000000 -00001111111100000000001111111100 +00001111111100000100001111011100 00000000111111110000000000111111 -11000000000011111111000000000011 +11000000000011111101000000000011 11111100000000001111111100000000 -00111111110000000000111111110000 +00111111100100000000111100010100 00000011111110000000000001100000 00000000000000000000000000000000 01000000000100001010110000000000 11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110100000000010000100000 +00001111101100100010001100101100 +00000000110110110000010000110010 +11000000000011111001000000000011 +11101100000000001111101100100000 +00111100100000000100110010010000 +00001011000100000000010000100000 00000000000000000000000000000000 11001000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111100100000000001000000 +10111011010110000010111011000000 +00001011011110000000001000111100 +00000010101011110000001010100010 +11000000100010111001110110010010 +11101100000000001011101101000000 +00111010100000000000100010010000 +00000010001100100000000001000000 00000000000000000000000000000000 11100000000001010100110000000000 10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111110000000000001010000 +00001011001101000000001000001100 +00000000100100110000000000100000 +11000000000110110001010000000110 +11001100000000001011001110000000 +00101100000110000000100000110000 +00000010001110000000000001010000 00000000000000000000000000000000 00100000000000010001111000000000 10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 -00000010110010000000000001000000 +00001011011110001000101000001110 +01000000101001111001000000100001 +11100000000010110101100100000010 +11011110000000001011011110001000 +00101001001000000010100001101000 +00000010000010000000000001000000 00000000000000000000000000000000 -01001000000010000000110000000000 +01001000000010000000110001000000 11110011000000000011110011000000 -00001111001100000000001111001100 -00000000111100110000000000111100 -11000000000011110011000000000011 -11001100000000001111001100000000 -00111100110000000000111100110000 -00000011110100100000001000000000 -00000000000000000000000000000000 -01000000000111011011110000000000 +00001111001100000000001000001100 +00000000110110110000000000110000 +11000000000011110011010000000011 +11001100010000001111001100000000 +00111100000100000000110000100000 +00001011000100100000001000000000 +00000000000000000000000000000000 +01000000000111011011110000010000 11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 +10001111111100000000001111111101 +00000000111111110000001000111111 +11000000000011111100000000100011 11111100000000001111111100000000 -00111111110000000000111111110000 +00111101100000000000111111110000 00000011110100000000011001100000 00000000000000000000000000000000 10101000000001011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111010100000000001110000 +11110011000000000011001011000000 +00001111101101011000001100101100 +00000000111010111010000010110010 +11000000000011110001000000000011 +00101100000100000111101100000000 +00111110000000000000110010110000 +00001011001010100000000001110000 00000000000000000000000000000000 01001000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010110100100000010001100000 +10110111000000000010000111000000 +00001011011100000000101000111101 +00000000100000110101000000100001 +11000000000010110101000000000010 +10011100000000001011011100000000 +00101100000000000010100001110000 +00000010000100100000010001100000 00000000000000000000000000000000 11000000000000001001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 -00000010111100000000000000100000 +10110111100001001010000111100000 +00001011011110000000001000011110 +10000000101001111000000000100001 +11100000010010110111100000000110 +01011110000000011011011110000000 +00101101101000000000101001111000 +00000010001100000000000000100000 00000000000000000000000000000000 -01001000000101000100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110100100000010000110000 +01001000000101001100110000000000 +10110011000000000010000011000000 +00001011001100000000001000101100 +00000000100000110000000000100010 +11000000000010110011010100000010 +11001100000000011011001100000000 +00101100101100000000101000111111 +00000010000100100000010000110000 00000000000000000000000000000000 11101000000101011010100000000000 -11111010000000000011111010000000 -00001111101000000000001111101000 -00000000111110100000000000111110 -10000000000011111010000000000011 -11101000000000001111101000000000 -00111110100000000000111110100000 -00000011111110100000010001100000 +11111010000000000011001010000000 +00001111101000000100001100101000 +00000000111010100000000000110010 +10000000000011111110100000000011 +01101000000001001111101000000000 +00111111100000100000111011100100 +00000011001110100000010001100000 00000000000000000000000000000000 01001000000000001110000000000000 11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 +00001111000000000100001111100000 +00000100111110000000000000111110 +00000000010011111000011000000011 +10100000000000001111100000000000 +00011100000000000010010110000000 00000011110100100000000000110000 00000000000000000000000000000000 00001000000100001110010000000000 -11111001000000000011111001000000 -00001011100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011110000100000010000110000 +11111001101000001011001001000000 +00001111100100100000001100100100 +00000000110110010000000000111110 +01000000000011001001010000000010 +00100100000000001111100100010000 +00110010011000100010110000010000 +00000011000000100000010000110000 00000000000000000000000000000000 10000000000001000110010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010111000000000000000010000 +10110001001000010010001001000000 +00001011100101000000001010100100 +00000000100010010000000000101110 +01000000000010100001010010000010 +10100100000000001011100100000000 +00100010010001000000101010010000 +00000010001000000000000000010000 00000000000000000000000000000000 00011000000001010010010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010110001100000000001000000 +10111001000000000010001001000000 +00001011100101000000001000100100 +00010000100110010000000000101110 +01000000000010001001000000000010 +10100100000000001011000101000000 +00100010010000000010100010010000 +00000010000001100000000001000000 00000000000000000000000000000000 00001000000001000000010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11000100000000001011000100000000 -00101100010000000000101100010000 -00000010110000100000000100000000 +10110001000000000010000001000000 +00001011000100101000001010000100 +10100100100000010010100000101100 +01000000010010101001000000000110 +10000100000000001011000100000000 +00100000010010000000101000010010 +00001010000000100000000100000000 00000000000000000000000000000000 10111000000011010110000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 -00000011111011100000001101010000 +11111000000000000011001000000000 +10001111100000100000001100100000 +10000000110110000010000000111110 +00010100000011001000000000000011 +10100000000000001111100001010000 +00110010000000000010110010000000 +00000011001011100000001101010000 00000000000000000000000000000000 10011000000111011110010000000000 11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 +00001111000100000000001111100100 +10100000110110010010100000111110 +01000000000011111101000000000011 11100100000000001111100100000000 -00111110010000000000111110010000 +10111111010001000000111101010001 00000011111001100000011001110000 00000000000000000000000000000000 00011000000001011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011110001100000000001110000 +11111101000000000011111001000000 +00001111010100001010101100100110 +10100000111010010001100000111110 +01000000000011111101000000000011 +11100100000000001111110100000000 +00110011010000100010110011010000 +10000011000001100000000001110000 00000000000000000000000000000000 00111000000100001110000000000000 10111000000000000010111000000000 -00001011100000000000001011100000 -00000000101110000000000000101110 -00000000000010111000000000000010 +00001011100000000000001000000011 +10000000100010000100000000101100 +00000000000010111010000000000010 11100000000000001011100000000000 -00101110000000000000101110000000 -00000010110011100000010000110000 +00100010000100000000100010000100 +00000010000011100000010000110000 00000000000000000000000000000000 00001000000001011100010000000000 10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000001101100 -01000000000010110001000000000010 -11000100000000001011000100000000 -00101100010000000000101100010000 -00000010110000100000000101110000 +00001011000100000000001000000100 +00100010101000010010000000101100 +01001010000010110001000000000010 +11000100000000001011000100001000 +00100000010000000000100000010000 +00000010000000100000000101110000 00000000000000000000000000000000 -00011000000101011010010000000000 +00011000000101011010010000010000 10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010110001100000010001100000 +00001011100100000000001000100100 +00010000100010010000000000101110 +01000000000010111001000000000000 +11100100000000001011000100000000 +10100010010010000000100010010100 +00000010000001100000010001100000 00000000000000000000000000000000 10100000000101011110010000000000 11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 +00001111100100000000001100100100 +00000000111010010000000000111110 +01000000000011111001110100000001 11100100000000001111100100000000 -00111110010000000000111110010000 -00000011111010000000010001110000 +00110010011110000000110010010000 +00001011001010000000010001110000 00000000000000000000000000000000 00101000000000011010010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 +11111001000000000011111001000001 +00001111000100000000001111000100 00000000111110010000000000111110 01000000000011111001000000000011 11100100000000001111100100000000 -00111110010000000000111110010000 +00111110010000100000111100010000 00000011110010100000000001100000 00000000000000000000000000000000 00101000000100001010000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 +11111000000000000011001000000000 +00001111100000001000001101100000 +00000000110110000000010000111110 +00000001000011001000001000000011 11100000000000001111100000000000 -00111110000000000000111110000000 -00000011110010100000010000100000 +00111100000000000100110010000000 +01000011000010100000010000100000 00000000000000000000000000000000 00101000000001010010100000000000 -10111010000000000010111010000000 -00001011101000000000001011101000 -00001000101110100000000000101110 -10000000000010111010000000000010 -11101000000000001011101000000000 -00101110100000000000101110100000 -00000010110010100000000001000000 +10111110000000000010001010000000 +00001011111001100000001000101000 +00000010100010100000000000101110 +10000000100010101110110000000010 +11101000000000001011111000010000 +00101111101000000000100011100000 +00000010000010100000000001000000 00000000000000000000000000000000 00101000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 +10110011000110000010000011000000 +10001011001101000000001001001100 +00000000100100110000000000101100 +11000000000110000011110100000110 11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110010100000000001010000 +00101100011100000000100000100000 +00000010000010100000000001010000 00000000000000000000000000000000 10100000000000010001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 +10110111100000001010000111000001 +00001011011100000000001000011100 +01000000100001110000010000101101 +11101000000010100111000000000010 11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010000000000001000000 +00101101010000100000100001100000 +00000010001010000000000001000000 00000000000000000000000000000000 10101000000010000001111000000000 -11110111100000000011110111100000 -00001111011110000000001111011110 -00000000111101111000000000111101 -11100000000011110111100000000011 -11011110000000001111011110000000 -00111101111000000000111101111000 -00000011111010100000001000000000 -00000000000000000000000000000000 -00001000000111011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 +11110111100000000011000111100000 +00001111011110000000001101011110 +00010000110101111010000000111101 +11101000000011000111100000000011 +11011110000000001111010110000000 +00111101011000000010110000101000 +00001011001010100000001000000000 +00000000000000000000000000000000 +00001000000111011010110000001000 +11110001000000000011111011000000 +00001111101100000000001111101101 +10000000111110110100100000111110 +11011000000011111011000000000011 11101100000000001111101100000000 -00111110110000000000111110110000 +00111100010000000000111110100000 00000011110000100000011001100000 00000000000000000000000000000000 00000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111000000000111111111000 -00000011110000000000000001110000 -00000000000000000000000000000000 -10101000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000101101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010100000010001100000 +11110111100000000011101111100000 +00001111011110000001001100111111 +00000000111011111000100000111111 +11110010000011111111100000000011 +00111110000000001111011110000000 +10110011111000000010110001101000 +00000011000000000000000001110000 +00000000000000000000000000000000 +10101000000100011001110001000000 +10110111001000000010000111000100 +00001011011101000100001000111100 +01000000100001110001000000101101 +11000000000010110111010000000011 +01011100000000001011011101000100 +00100001110000000010100001100000 +00000010001010100000010001100000 00000000000000000000000000000000 00000000000000001001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 +10110111000000000010100111000000 +00001011011000000000001000011100 00000000101001110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010110000000000000000100000 +11000000000010111111000010000010 +01011100000000001011010100000000 +00100000010000000000100001100000 +00000010000000000000000000100000 00000000000000000000000000000000 00100000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110010000000010000110000 +10110011000000000010000011000000 +00001011001100000010101000001100 +00000010100000110000000000101100 +11000000000010110011100010000010 +01001100000000001011001100000000 +00100000010100000000100000100100 +00000010000010000000010000110000 00000000000000000000000000000000 10101000000101011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111010100000010001100000 +11111011000000000011101011000000 +00001111100100000000001100111100 +00000000111011110000000000111111 +11000000100011111010110000000011 +01101100000000001111101100000000 +00110010011100000010110010100101 +00000011001010100000010001100000 00000000000000000000000000000000 10000000000000001110110000000000 -11111011000000000011111011000000 +11111011010000010011111011000000 00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +00000000111110110000000000011110 +11000000000011111000000000000011 +11101100000000001111101001000000 +00111110011000000000111100100000 00000011111000000000000000110000 00000000000000000000000000000000 00000001000100001111110000000000 -11101111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 -00000011110000000100010000110000 +11111111000000000011001111000000 +00001111111110100000001100111100 +00000000000101110000000000110011 +11000000000011111111000000000010 +11111100000000001111110100000000 +00111111010000100010110011100000 +00000011000000000100010000110000 00000000000000000000000000000000 10000001000001000110110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111000000100000000010000 +10110010010100000010001011000000 +00001011001101000000001000101100 +00000000100010110000000010100010 +11000000000010111001100000000010 +11101100000000001011101001000000 +00101100011110000000100010100000 +00000010001000000100000000010000 00000000000000000000000000000000 10000000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 +10111011000011000010001011000000 +00001011101100000000001000001100 +00001000101110110000000000100010 +11000000000010111011100000000110 11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111000000000000001000000 +00101110111000000100100010100000 +00000010001000000000000001000000 00000000000000000000000000000000 00001000000001000000110000000000 -10110011000000000010110011000000 -00011011001100000000011011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110000100000000100000000 +10110011000000011010000011000000 +10001011001100000000001000001100 +00010000101000110000001000100000 +11000000000010110000000000000110 +11001100000000001011001000000000 +00101110100000000000100000100000 +00001010000000100000000100000000 00000000000000000000000000000000 -00000000000011000010110000000000 -11101011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110000000000001101010000 +00000000000011010110110000000000 +11111011000000000011001011000000 +00001111101100000000001100111100 +00001000111111110000000000110011 +11000000000011111001000000000011 +11101100000010001111100000000000 +00111110110000000000110010100000 +00001011000000000000001101010000 00000000000000000000000000000000 10100000000111011111110000000000 11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 +00001111111100000000101111111100 +00000000110111110000000000111111 +11000000000011110101000000000011 11111100000000001111111100000000 -00111111110000000000111111110000 +00111111100000000000111111100000 00000011111010000000011001110000 00000000000000000000000000000000 -11000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 +11000000000001011111101000000000 +11111110000000000011111111000000 +00001111111010000000001111111110 +00000000110111111000000000111111 11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111000000000111111111000 +10111110000000001111111110000000 +00111011111000000000111111111000 00000011111100000000000001110000 00000000000000000000000000000000 10000000000100001110111000000000 -10111011100000000010111011100000 -00001011101110000000001011101110 -00000000111010111000000000101110 +10111011001000010110111011010000 +00001011101010000000001011101100 +00000000100010111000000000101110 11100000000010111011100000000010 11101110000000001011101110000000 -00101110111000000000101110111000 +00111010111000000000101110111000 00000010111000000000010000110000 00000000000000000000000000000000 -10001000000001011100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 +10001000000001011100000000000000 +10110010000010100010110011010000 +00001011001000000000011011001100 +00000000100100110000000000101100 11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 +10001100000000001011001100000000 +00101000110000000000101100110000 00000010111000100000000101110000 00000000000000000000000000000000 -11000000000101011010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101010110000000000101110 +11000000000101011010000001000000 +10111011000000100110111011000000 +00001011101100010000001011101100 +00000000100010110000000000101110 11000000000010111011000000000010 11101100000000001011101100000000 -00101110110000000000101110110000 +00101010110000000000101110110000 00000010111100000000010001100000 00000000000000000000000000000000 -01000000000101011110110000000000 -11111011000000000011111011000000 +01000000000101011110100000000000 +11111011100000100010111011000000 00001111101100000000001111101100 -00000000111110110000000000111110 +00000000110110110000000000111110 11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +10101100000000001111101100000000 +00111010110000000000111110110000 00000011110100000000010001110000 00000000000000000000000000000000 11100000000000011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 +11111111001000000011111111000000 +00001111111110000000001111111100 00000000111111110000000000111111 11000000000011111111000000000011 11111100000000001111111100000000 00111111110000000000111111110000 00000011111110000000000001100000 00000000000000000000000000000000 -01000000000100001010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 +01000000000100001010010000000000 +11111001000000000011111011000000 +01001111101000000000001111101100 +00000000110010110000000000111110 11000000000011111011000000000011 11101100000000001111101100000000 00111110110000000000111110110000 00000011110100000000010000100000 00000000000000000000000000000000 -11001000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 +11001000000001010010010000000100 +10111011000000100010001111100010 +01001011101111010000011011101100 +00000000100010110000000000101110 +11000000000010111011000000000011 +10101100000000001011101100000000 +00111010110000000000101110110000 00000010111100100000000001000000 00000000000000000000000000000000 -11100000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 +11100000000001010100100000000000 +10110010000000000010100011111000 +00001011001000010000001011101100 +00000000100000110000000000101100 11000000000010110011000000000010 11001100000000001011001100000000 00101100110000000000101100110000 00000010111110000000000001010000 00000000000000000000000000000000 -00100000000000010001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 +00100000000000010001111000000100 +10110011100000010010000111100001 +00001011010010000000001011011110 +00000001100001111000000000101101 +11100000000110110111100000000010 11011110000000001011011110000000 00101101111000000000101101111000 00000010110010000000000001000000 00000000000000000000000000000000 -01001000000010000000110000000000 -11110011000000000011110011000000 -00001111001100000000001111001100 -00000000111100110000000000111100 +01001000000010000000100000000000 +11110000010100000010100011000100 +00001111001000000000001011101100 +00000000110000110000000000111100 11000000000011110011000000000011 11001100000000001111001100000000 00111100110000000000111100110000 00000011110100100000001000000000 00000000000000000000000000000000 -01000000000111011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 +01000000000111011011100000100000 +11111111000101000011101111000101 +00001111110100000000001111111100 00000000111111110000000000111111 -11000000000011111111000100000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +11000000000011111111000000000011 +10111100000000001111111100000000 +00111011110000000000111111110000 00000011110100000000011001100000 00000000000000000000000000000000 -10101000000001011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 +10101000000001011110100000100000 +11111010001001000010111011000000 +00000111101101001000001111101100 00000000111110110000000000111110 11000000000011111011000000000011 11101100000000001111101100000000 @@ -1077,62 +1509,62 @@ Bits: 336768 00000011111010100000000001110000 00000000000000000000000000000000 01001000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 +10110110000010100010110111010000 +10001011010100100000001011011100 00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 +11000000000010110111000000000011 +10011100000000001011011100000000 00101101110000000000101101110000 00000010110100100000010001100000 00000000000000000000000000000000 11000000000000001001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 +10110100100000000010110111100000 +00001011011010010000001011011110 00000000101101111000000000101101 11100000000010110111100000000010 -11011110000000001011011110000000 +10011110000000001011011110000000 00101101111000000000101101111000 00000010111100000000000000100000 00000000000000000000000000000000 -01001000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000100001011001100 +01001000000101001100110100000000 +10110010000010000010110011000000 +00001011000101001000001011001100 00000000101100110000000000101100 11000000000010110011000000000010 -11001100000000001011001100000000 +10001100000000001011001100000000 00101100110000000000101100110000 00000010110100100000010000110000 00000000000000000000000000000000 -11101000000101011010100000000000 -11111010000000000011111010000000 -00001111101000000000001111101000 +11101000000101011010100100000000 +11111010010010000010111010000000 +00001111101001000000001111101000 00000000111110100000000000111110 10000000000011111010000000000011 -11101000000000001111101000000000 +10101000000000001111101000000000 00111110100000000000111110100000 00000011111110100000010001100000 00000000000000000000000000000000 -01001000000000001110000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 +01001000000000001110000100100000 +11110000000000000011111000000000 +10001111100000000000001111100000 00000000111110000000000000111110 00000000000011111000000000000011 -11100000000000001111100000000000 +10100000000000001111100000000000 00111110000000000000111110000000 00000011110100100000000000110000 00000000000000000000000000000000 00001000000100001110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 +11111011000000100011101001100000 +10001111100100000000001100100100 00000000111110010000000000111110 -01000000000011111001000000100011 +01000000000011111001000000000011 11100100000000001111100100000000 00111110010000000000111110010000 00000011110000100000010000110000 00000000000000000000000000000000 -10000000000001000110010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 +10000000000001000110110000000000 +10111001000000000010001001100010 +00001011001100000000001010100100 00000000101110010000000000101110 01000000000010111001000000000010 11100100000000001011100100000000 @@ -1140,8 +1572,8 @@ Bits: 336768 00000010111000000000000000010000 00000000000000000000000000000000 00011000000001010010010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 +10111001000000000010101001000101 +00001011100100000000001000100100 00000000101110010000000000101110 01000000000010111001000000000010 11100100000000001011100100000000 @@ -1149,88 +1581,88 @@ Bits: 336768 00000010110001100000000001000000 00000000000000000000000000000000 00001000000001000000010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 +10110001001010000010000001001010 +10001011100100000000001010000100 00000000101100010000000000101100 01000000000010110001000000000010 11000100000000001011000100000000 00101100010000000000101100010000 00000010110000100000000100000000 00000000000000000000000000000000 -10111000000011010110000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 +10111000000011010110100000000000 +11111000001001000111101000011100 +00001111100000000000001100100001 +01000000111110000000000000111110 00000000000011111000000000000011 11100000000000001111100000000000 00111110000000000000111110000000 00000011111011100000001101010000 00000000000000000000000000000000 -10011000000111011110010000000000 -11111001000000000011111001000000 +10011000000111011110010000001000 +11111001001011001011111001000000 00001111100100000000001111100100 00000000111110010000000000111110 -01000001010011111001000000000011 -11100100000000001111100100000000 +01000000000011111001000000000011 +11100100000000001101100100000000 00111110010000000000111110010000 00000011111001100000011001110000 00000000000000000000000000000000 00011000000001011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 +11111001101000000011001101000100 +00001110100100000001001111100100 +00010000111110010000000000111110 +01000000010011111001000000100011 11100100000000001111100100000000 00111110010000000000111110010000 00000011110001100000000001110000 00000000000000000000000000000000 -00111000000100001110000000000000 -10111000000000000010111000000000 -00001110100000000000001011100000 -00000000101110000000000000101110 -00000000000010111000000000000010 +00111000000100001110100000000000 +10111000011000000010001000010100 +00001000100000000000001011100000 +10000000101110000000000000101110 +00000000000010111000000000000110 11100000000000001011100000000000 00101110000000000000101110000000 00000010110011100000010000110000 00000000000000000000000000000000 00001000000001011100010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 +10110001000110000010000001001000 +00001010000100000000001011000100 +00100000101100010000000000101100 01000000000010110001000000000010 11000100000000001011000100000000 00101100010000000000101100010000 00000010110000100000000101110000 00000000000000000000000000000000 -00011000000101011010010000000000 -10111001000000000010111001000000 -00001010100100000000001011100100 +00011000000101011010010001000000 +10111001000000000010001001000000 +00001000100101000000001011100100 00000000101110010000000000101110 01000000000010111001000000000010 11100100000000001011100100000000 00101110010000000000101110010000 00000010110001100000010001100000 00000000000000000000000000000000 -10100000000101011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 +10100000000101011110011100000000 +11111001100000001011001001000000 +00001110100100000000001111100100 00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 +01000000000011111001000000000010 +11100100000000001011100100000000 +00101110010000000000111110010000 00000011111010000000010001110000 00000000000000000000000000000000 00101000000000011010010000000000 -11111001000000000011111001000000 +11111001010000101011110001000000 00001111100100000000001111100100 -00000000111110010000000000111110 +00000000111110010000010000111110 01000000000011111001000000000011 11100100000000001111100100000000 00111110010000000000111110010000 00000011110010100000000001100000 00000000000000000000000000000000 00101000000100001010000000000000 -11111000000000000011111000000000 +11110000000000000011001000000100 00001111100000000000001111100000 00000000111110000000000000111110 00000000000011111000000000000011 @@ -1239,53 +1671,53 @@ Bits: 336768 00000011110010100000010000100000 00000000000000000000000000000000 00101000000001010010100000000000 -10111010000000000010111010000000 +10111010000000000010001110000000 00001011101000000000001011101000 00000000101110100000000000101110 -10000000000010111010000000000010 -11101000000000001011101000000000 +10000000000010111010000000000011 +10101000000000001011101000000000 00101110100000000000101110100000 00000010110010100000000001000000 00000000000000000000000000000000 -00101000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 +00101000000001010100110001000000 +10110011000000000010000011001000 +01001011001100000000001011001100 +00000001101100110000000000101100 11000000000010110011000000000010 11001100000000001011001100000000 00101100110000000000101100110000 00000010110010100000000001010000 00000000000000000000000000000000 10100000000000010001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 +10110111001100001010000111000000 +01001011010100000000001011011100 +01000001101101110000000000101101 11000000000010110111000000000010 11011100000000001011011100000000 00101101110000000000101101110000 00000010111010000000000001000000 00000000000000000000000000000000 -10101000000010000001111000000000 -11110111100000000011110111100000 -00001111011110000000001111011110 +10101000000010000001101001000000 +11111111100100000010000110100000 +00001111011110010100001111011110 00000000111101111000000000111101 11100000000011110111100000000011 11011110000000001111011110000000 00111101111000000000111101111000 00000011111010100000001000000000 00000000000000000000000000000000 -00001000000111011010110000000000 -11111011000000000011111011000000 -00001111101100000100001111101100 +00001000000111011010100010010000 +11111011000000000011111010000000 +00001111100100100000001111101100 00000000111110110000000000111110 11000000000011111011000000000011 -11101100000000001111101100000000 +10101100000000001111101100000000 00111110110000000000111110110000 00000011110000100000011001100000 00000000000000000000000000000000 -00000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 +00000000000001011111111001000000 +11001111100000000011000111100000 +00001100111110000000001111111110 00000000111111111000000000111111 11100000000011111111100000000011 11111110000000001111111110000000 @@ -1293,97 +1725,97 @@ Bits: 336768 00000011110000000000000001110000 00000000000000000000000000000000 10101000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001111011100 -00000000111101110000000000101101 +11010111000000000010000110000000 +00001000010100010000001011011100 +00000000101101110000000000101101 11000000000010110111000000000010 11011100000000001011011100000000 00101101110000000000101101110000 00000010111010100000010001100000 00000000000000000000000000000000 -00000000000000001001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 +00000000000000001011100000001000 +10000111000100000010000110000000 +00001000011100000000001011011100 00000000101101110000000000101101 11000000000010110111000000000010 11011100000000001011011100000000 00101101110000000000101101110000 00000010110000000000000000100000 00000000000000000000000000000000 -00100000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001010001100 -00000000101000110000000000101100 +00100000000101001100100100000000 +00010011010000000010001000000000 +00001000000101000000001011101100 +00000000101100110000000000101100 11000000000010110011000000000010 11001100000000001011001100000000 00101100110000000000101100110000 00000010110010000000010000110000 00000000000000000000000000000000 -10101000000101011010110000000000 -11111011000000000011111011000000 -00001111101100000000001011101100 -00000000101110110000000000111110 +10101000000101011010010101000000 +10001111010010000010001011000000 +00101100101000100000001111111100 +00000000111110110000000000111110 11000000000011111011000000000011 11101100000000001111101100000000 -00111110110000000000111110110000 +00101110110000000000111110110000 00000011111010100000010001100000 00000000000000000000000000000000 -10000000000000001110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 +10000000000000001110010100000100 +11110011001000100011111011000000 +10001111101100000000001111101100 00000000111110110000000000111110 11000000000011111011000000000011 11101100000000001111101100000000 00111110110000000000111110110000 00000011111000000000000000110000 00000000000000000000000000000000 -00000001000100001111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 +00000001000100001111010100000000 +11111111000000001011001101000000 +00001100111010001000001111111100 +00000000110111110000000000111111 11000000000011111111000000000011 11111100000000001111111100000000 00111111110000000000111111110000 00000011110000000100010000110000 00000000000000000000000000000000 -10000001000001000110110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 +10000001000001000110010000000000 +10111011000000000010001001101000 +00001010101110010000001011101100 +00000000100010110000000000101110 11000000000010111011000000000010 11101100000000001011101100000000 00101110110000000000101110110000 00000010111000000100000000010000 00000000000000000000000000000000 -10000000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 +10000000000001010010110000100000 +10111011000000000010001011100001 +00001000101000001000001011101100 +00000000100110110000000000101110 +11000000000010111011000000000110 11101100000000001011101100000000 00101110110000000000101110110000 00000010111000000000000001000000 00000000000000000000000000000000 -00001000000001000000110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000100100110000000000101100 +00001000000001000000110000000100 +10110011000000010010000010000000 +00001010001100000000001011001100 +00000000100000110000000000101100 11000000000010110011000000000010 11001100000000001011001100000000 00101100110000000000101100110000 00000010110000100000000100000000 00000000000000000000000000000000 -00000000000011010110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 +00000000000011010110110000000001 +11110111000000000011001001000000 +00001100101000000000001111101100 +00000000110110110000000000111110 11000000000011111011000000000011 11101100000000001111101100000000 00111110110000000000111110110000 00000011110000000000001101010000 00000000000000000000000000000000 -10100000000111011111110000000000 -11111111000000000011111111000000 +10100000000111011101110000010000 +11111111000000100011111100000000 00001111111100000000001111111100 00000000111111110000000000111111 11000000000011111111000000000011 @@ -1392,5345 +1824,4481 @@ Bits: 336768 00000011111010000000011001110000 00000000000000000000000000000000 11000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111000000000111111111000 -00000011111100000000000001110000 +11111111100000000011111111100100 +00001111111110000000001111111100 +10010000110011011001000000110011 +11001000000011001101100000000011 +11010110000000001111110100000000 +00110011110000000000110011101000 +00100011001100000000000001110000 00000000000000000000000000000000 -10000000000100001110111000000000 -10111011100000000010111011100000 -00001011101110000000001011101110 -00000000101110111000000000101110 -11100000000010111011100000000010 -11101110000000001011101110000000 -00101110111000000000101110111000 -00000010111000000000010000110000 +10000000000110001110111000000000 +10111011100001000010111011000000 +00001011101100000000001011011100 +01000000100010000010000000100011 +11010000001010001001100000000010 +11100010000000001011100110000001 +00100010111000001000100010111000 +00000010101100000000010000110000 00000000000000000000000000000000 10001000000001011100110000000000 10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111000100000000101110000 +00001011000100000000001011001100 +00000010100010110010000000100000 +11000100000010000011000000100010 +11000100000000001011001000000000 +10100000110000000000101010110000 +00000010001100100000000101110000 00000000000000000000000000000000 -11000000000101011010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111100000000010001100000 +11000000000001010010110000000000 +10111011000000000000111011000000 +00000011100100000000001011101100 +00000000100010110110000000100000 +11000000001010001010110000000010 +11100011000000001011100110000000 +00100010110000000010100010110000 +00000010101100000000010001100000 00000000000000000000000000000000 -01000000000101011110110000000000 +01000000000101010110110000000000 11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110100000000010001110000 +01001111100110000000001111101100 +00000000110010010000001100110010 +11000000000011001011110000000011 +11101010000000001111100111000000 +00110010111100000000111010110100 +10000011000100000000010001110000 00000000000000000000000000000000 11100000000000011011110000000000 11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +00001111110110010000001111111100 +00010000111111101000000010111110 +11000000000011111100000000010011 +11111000000000001111000100000000 +00111111111010000000111111110000 00000011111110000000000001100000 00000000000000000000000000000000 01000000000100001010110000000000 11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110100000000010000100000 +00001110100100000000001111101100 +00000000110010110000000000111110 +11000101000011001011000000000011 +00101101000000001101101000000000 +00110000110000000000110010111000 +00100011000100000000010000100000 00000000000000000000000000000000 11001000000001010010110000000000 10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111100100000000001000000 +00001000100100000000001011111101 +01000000101000110000010001100111 +11000100001010001011000100000011 +01101000000000001000101101010000 +00100010110101000010100000110100 +01010011011101100000000001000000 00000000000000000000000000000000 -11100000000001010100110000000000 +11000000000001000100110000000000 10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111110000000000001010000 +00001011001100000000001011101100 +00000001100000010000000000101100 +11000000000010000010000000000010 +00000000000000011001001100000000 +00100000000000001000100000110000 +10000110001110100000000001010000 00000000000000000000000000000000 -00100000000000010001111000000000 +00100000000000000101111000000000 10110111100000000010110111100000 00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 -00000010110010000000000001000000 +00000000001001011000000000101101 +11100100000010000111100000010010 +01110010000000011000010110000000 +10100000101001000000100011111000 +00000010011011000000000001000000 00000000000000000000000000000000 -01001000000010000000110000000000 -11110011000000000011110011000000 -00001111001100000000001111001100 -00000000111100110000000000111100 -11000000000011110011000000000011 -11001100000000001111001100000000 -00111100110000000000111100110000 -00000011110100100000001000000000 +01001000000010000100110000000000 +11110011000000000010111011000000 +00001111001100000100001111001100 +00000000110000110001000000101100 +11000100000011000011000110000011 +00001000000000001101001101000000 +00110000110000000100110000110000 +00001011000100100000001000000000 00000000000000000000000000000000 01000000000111011011110000000000 11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +00001100111100010000001111111100 +00100100111111110000010000110111 +11010100000011110111000000000000 +11110000010000000011110100000000 +00111111110000000000111101110100 00000011110100000000011001100000 00000000000000000000000000000000 -10101000000001011110110000000000 +00001000000001011110110000010000 11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111010100000000001110000 +00001111100100000000001100101100 +10000000110010110000000000111110 +11010000001011001011000001000011 +11101000000100001111101100000000 +00111110010000000000110000110100 +00000011001010100000000001110000 00000000000000000000000000000000 -01001000000100011001110000000000 +01001000010110010001110000000000 10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010110100100000010001100000 +00001011010100000000001000011100 +10100000100001100000000100101101 +11001000000010000111000000100010 +11011000000000001011011100000000 +00101101100000000000100001110000 +00000010001100100000010001100000 00000000000000000000000000000000 -11000000000000001001111000000000 +00100000000000000001111000000000 10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 -00000010111100000000000000100000 +00001011010110000000001000001110 +10000010100001111000001000101101 +11100100001010000110100000000010 +11011010000000001011011110000000 +00101100011000000000100011111000 +00000010001000000000000000100000 00000000000000000000000000000000 -01001000000101001100110000000000 +01101000000001001100110000000000 10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110100100000010000110000 +00011011100100010100001000001100 +00000000100010110000100000101100 +11000000001010000011100000000010 +11001001100000001011001110000010 +00101100110100000000100000110110 +00001010000100100000010000110000 00000000000000000000000000000000 11101000000101011010100000000000 11111010000000000011111010000000 -00001111101000000000001111101000 -00000000111110100000000000111110 -10000000000011111010000000000011 -11101000000000001111101000000000 -00111110100000000000111110100000 -00000011111110100000010001100000 +00001111101010000000111100101000 +00000000110011101000000000111110 +10000000000011001110111000100011 +11111011000000001111111010100000 +00101111101110000000110000100100 +00000011001110100000010001100000 00000000000000000000000000000000 -01001000000000001110000000000000 +01001000000000011110000000000000 11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 +00001111100000000000001111000000 +00000000111110000001000000111110 +00000000001011111000011000000011 +11100000010000001111100000000000 +00111110000000001010111110000000 00000011110100100000000000110000 00000000000000000000000000000000 -00001000000100001110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011110000100000010000110000 +00001000000100001010010000000000 +11111001000000000001111001000000 +00000111100100000000001100100100 +00000000110010010000000000110010 +01001001000011001001000000000011 +11100100000010001111000100000000 +00110000010000100000110010110000 +00000011000000100000010000110000 00000000000000000000000000000000 10000000000001000110010000000000 10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 +00001011100100000000001000100111 +00000010100010010000000000100010 +01111000000010101001011000000010 11100100000000001011100100000000 -00101110010000000000101110010000 -00000010111000000000000000010000 +00100010010000000010100010010000 +00000010001000000000000000010000 00000000000000000000000000000000 -00011000000001010010010000000000 +00111000000001010010010000000000 10111001000000000010111001000000 -00001011100100000000001001100100 -00000000101110010000000000101110 -01000000000010111001000000000010 +00001011100100000000001000100101 +01000000100010010000000000100000 +01000000001010001001000100000010 11100100000000001011100100000000 -00101110010000000000101110010000 -00000010110001100000000001000000 +00100010110000000000100010010000 +00000010000001100000000001000000 00000000000000000000000000000000 -00001000000001000000010000000000 +00101000000001000000010000000000 10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11000100000000001011000100000000 -00101100010000000000101100010000 -00000010110000100000000100000000 +00001011000100000000101000000100 +10100000100000010000000010100000 +01001010000010100001000000010010 +11000100000000001011000100100000 +00100000010010000000100010010000 +00001010000000100000000100000000 00000000000000000000000000000000 10111000000011010110000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 +11111000000000000011111000010100 +00001111000001010000001100100001 +11000000110010000000000000110000 +00011100001011001000000000010011 11100000000000001111100000000000 -00111110000000000000111110000000 -00000011111011100000001101010000 +10110010000000000000110010000000 +00000011001011100000001101010000 00000000000000000000000000000000 10011000000111011110010000000000 11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 +00001111110100000000001111100100 +00000000111111010010100000111110 +01000000000011111101000001000011 +11111100000000001111110100010000 +00111111010001000000111110010000 00000011111001100000011001110000 00000000000000000000000000000000 -00011000000001011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011110001100000000001110000 +00011000000101011110010000000000 +11111001000000000011111001010000 +00001111000101000100001111110100 +00000000110010010000000000111111 +01100110000011100101000000000011 +00100100000000001111100100000000 +00110010010010100000110010010000 +00000011000001100000000001110000 00000000000000000000000000000000 00111000000100001110000000000000 10111000000000000010111000000000 -00001011100000000000001011100000 -00000000101110000000000000101110 -00000000000010111000000000000010 -11100000000000001011100000000000 -00101110000000000000101110000000 -00000010110011100000010000110000 -00000000000000000000000000000000 -00001000000001011100010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11000100000000001011000100000000 -00101100010000000000101100010000 -00000010110000100000000101110000 +00001011100000000010001011100001 +01000010100000001000000000101110 +00010000000010001000000000000010 +00100000000000001011100001000000 +10100010000100000000100011000000 +00001010000011100000010000110000 +00000000000000000000000000000000 +01001000000000001100010000000000 +10110001000000000010110001001000 +00001011000100100000001011000100 +10100000101000010010100000101100 +01001000000010101001000000100010 +10000100000000001011000101000000 +00100101011000000010100011010000 +00000010000100100000000101110000 00000000000000000000000000000000 00011000000101011010010000000000 10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010110001100000010001100000 +00000011100100100000001011100100 +00000100101010010100000000101110 +01000000001010001001000000001010 +10100100000000001011100110000000 +00100111010000000100100011010000 +00000010000001100000010001100000 00000000000000000000000000000000 -10100000000101011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000010 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011111010000000010001110000 +10100000010101011110010000010000 +11111001000000000001111001000000 +00001011100100000000001111100100 +00000000111010011000000000111110 +01000000000011101001010010000011 +10100111000000001111100100100000 +00010110010101000100110010010010 +00001011001010000000010001110000 00000000000000000000000000000000 -00101000000000011010010000000000 +01001000000000011010010000000000 11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011110010100000000001100000 +00001111100100000000001111000100 +00000000110110010010000000111110 +01000000000011111001000100010011 +01100110100010001111000100000000 +00111000011000001000111110010000 +00000011110110100000000001100000 00000000000000000000000000000000 -00101000000100001010000000000000 +00001000000100001010000000000000 11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 -00000011110010100000010000100000 +00001111100000000000001100100000 +10010000111110000000000100110000 +00000000001011001000010000000011 +00100001000000001111100000000000 +00111110000000000000110011000010 +00001011000010100000010000100000 00000000000000000000000000000000 00101000000001010010100000000000 10111010000000000010111010000000 -00001011101000000000001011101000 -00000000101110100000000000101110 -10000000000010111010000000000010 -11101000000000001011101000000000 -00101110100000000000101110100000 -00000010110010100000000001000000 +00000011101000000000001000111010 +00010000101110100000000010100011 +10000000000010000110011000000010 +00101000000000001011101000000000 +00101110101000001010100000100100 +00000010100010100000000001000000 00000000000000000000000000000000 00101000000001010100110000000000 10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110010100000000001010000 -00000000000000000000000000000000 -10100000000000010001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010000000000001000000 -00000000000000000000000000000000 -10101000000010000001111000000000 -11110111100000000011110111100000 -00001111011110000000001111011110 -00000000111101111000000000111101 -11100000000011110111100000000011 -11011110000000001111011110000000 -00111101111000000000111101111000 -00000011111010100000001000000000 +00001011001100000000001000001110 +00000100001100110000000000100000 +01000000000010000011101000000000 +00001100000001001011001100000000 +00101100111000000000100000100000 +00000010000010100000000001010000 +00000000000000000000000000000000 +10000000000100011001110000000000 +10110111000000000110110111001000 +01001011111110100000001000011000 +00100000101101111001000000100001 +10000000000010001110000000011010 +00011100000000001011011000000000 +00101100100000101000100011100000 +00000010101010000000000001000000 +00000000000000000000000000000000 +10001000000010001001111000000000 +11110111100000000011110111101000 +00001111011110000000101100010110 +00000000111101111000000000110001 +01100000000011000111100000000011 +00011110000000001111011110000000 +00111101111000000000110001101000 +00001011001010100000001000000000 00000000000000000000000000000000 -00001000000111011010110000000000 +00001000000101011010110000000000 11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +00001111101101010000001111100000 +00000100111110110010000000111100 +01000000000011111010000000000011 +11101100000000001111101000000000 +00111110100000000000111100100000 00000011110000100000011001100000 00000000000000000000000000000000 -00000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111111100000000011 +00000000000001001011111000000000 +11111111100000000011111111100010 +00001111111111000000001100111110 +00000000110011111100000000110011 +01100000001011101111100000000011 11111110000000001111111110000000 -00111111111000000000111111111000 -00000011110000000000000001110000 +00110111011000000000110001111000 +00001011000000000000000001110000 00000000000000000000000000000000 -10101000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010100000010001100000 +10101000000110001001110000000000 +11110111000000000010110111000000 +00001011111100000000001000011000 +00000000100011110010000000100001 +10001000000010000111000000000010 +11011100000000001011011000001001 +00100001000000000100100001110000 +00000011011010100000010001100000 00000000000000000000000000000000 00000000000000001001110000000000 10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 +00001011011100010000001000001100 +00000000100001110000100100100001 +01000000101010110111000000000010 11011100000000001011011100000000 -00101101110000000000101101110000 -00000010110000000000000000100000 +00100000010000000000100011111000 +00000010000000000000000000100000 00000000000000000000000000000000 -00100000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110010000000010000110000 +00100000000001001000110000000000 +10100011000000000010110011000000 +00001011001100000000001000001100 +00000000100000111100000000100000 +01000000001010010011110000000010 +11001111010000001011001001000000 +10100000000110000000100000110100 +00000010010010000000010000110000 00000000000000000000000000000000 10101000000101011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111010100000010001100000 +10111011000000000011111111000000 +00001011111100000000101100101100 +00000010110011110100000000110010 +01000000000011111011010000000011 +11101101000000001111101100000000 +00110010111000000010110000000100 +00001011001010100000010001100000 00000000000000000000000000000000 10000000000000001110110000000000 11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +10001111101100000001001111101000 +00000100111110110000100010111100 +10000000001011101011011100000011 +11101100000000001111101000000000 +00111110101000001000111110000000 00000011111000000000000000110000 00000000000000000000000000000000 -00000001000100001111110000000000 +00100001000100001111110000000000 11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 -00000011110000000100010000110000 +00001111111100000000001111110100 +00000000111111110000000000110011 +01000000000011001111000000000011 +11111100001000001111011100001000 +00100001110000000000110011001000 +10000011000000000100010000110000 00000000000000000000000000000000 -10000001000001000110110000000000 +10100001000001000110110000000000 10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111000000100000000010000 +10001011101100000000011011100010 +01001000101100110000010000100010 +01000000000010001000100000000010 +11101100000000001011101000000010 +10110010100000000000100010001000 +00000010001000000000000000010000 00000000000000000000000000000000 -10000000000001010010110000000000 +10000000000001010010110000010000 10111011000000000010111011000000 00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 +00000000101110110000000000100010 +01000000000110001001100010010010 11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111000000000000001000000 +00101010010000000000100010010010 +00000010001000000000000001000000 00000000000000000000000000000000 -00001000000001000000110000000000 +00001000010001000000110000000000 10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110000100000000100000000 +00001011001100000000001011001000 +00000000101110110000000000100000 +10000000010110000001000000010010 +11001100000000001011001000000000 +00100100000000000000100000010000 +00001010000000100000000100000000 00000000000000000000000000000000 00000000000011010110110000000000 11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 +00001111111100000001001011100100 +00000000111111110000000010110000 +01000000100011001001000000100011 11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110000000000001101010000 +00111010010000010000110010010000 +00000011000000000000001101010000 00000000000000000000000000000000 10100000000111011111110000000000 11111111000000000011111111000000 -00001111111100000000001111111100 +00001111111100000000001111110100 00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +01000000001011111101000000000011 +11111100000000001111011000000000 +00111011000000001000111111010000 00000011111010000000011001110000 00000000000000000000000000000000 -11000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111100000000000011 -00111110000000001111111110000000 -00111111111000000000111111111000 +11000000000001011111101000000000 +11101110100000000011111111001010 +00001110111101100000001111111100 +10000000110011110011000000110011 +11001000000011001111100000000011 +11111110000000001100111110000000 +00110011111000000000110011101000 00000011111100000000000001110000 00000000000000000000000000000000 -10000000000110001110111000000000 -10111011100000000010111011100000 -00001011101110000000001011101110 -00000000101110111000000000101110 -11100000000010111000100000000010 -00101110000000001011101110000000 -00101110111000000000101110111000 -00000010111100000000010000110000 -00000000000000000000000000000000 -10001000000001011100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110000000000000010 -00001100000000001011001100000000 -00101100110000000000101100110000 -00000010111100100000000101110000 -00000000000000000000000000000000 -11000000000001011010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000110000010 -00101100000000001011101100000000 -00101110110000000000101110110000 -00000010111100000000010001100000 +10000000000100001110101000000000 +10111000100001000010111111110000 +01001000101101000000001011111110 +00000000100011110011000000100011 +11000110000010001011000000000010 +11101100000000001000001100000000 +00100010110000000000100010100000 +00000010011000000000010000110000 +00000000000000000000000000000000 +10001000010001011100110000010000 +10110010100000000010110011000000 +00011010001101100000001011001101 +00000000101000110000000000100000 +11000000000010000011000000000010 +11001100000100001000001100000000 +00100000110000000000100000100000 +00000010111000100000000101110000 00000000000000000000000000000000 -01000000000101011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111001110000001011 -00101100000000001111101100000000 -00111110110000000000111110110000 -00000011110100000000010001110000 +11000000000101011010110000000100 +10111000000000000010111011000001 +00011000101100000000001011101100 +00000000100010110000000001100000 +11000000000010001011000000000010 +11101100000000001000101100000000 +00100000111000000000100010100000 +00000010011100000000010001100000 +00000000000000000000000000000000 +00000000000101011110101101100000 +11101001000000000011110011000000 +01001110101100000000001111101100 +00000010111010110000000000000010 +11000000000011001011000000000011 +11101100000000001100101100000000 +00110010111010000000110010100000 +00000011110000000000010001110000 00000000000000000000000000000000 -11100000000000011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111110100000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 -00000011111110000000000001100000 +11100000000000011011100000000000 +11111100100100000011111111000000 +00001111111100000000001111101100 +00010000111110110000001000111111 +11000000000011111111000000000011 +11111100000000101111111100000000 +00111111110000000000111111100000 +00100011111110000000000001100000 00000000000000000000000000000000 01000000000100001010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011110001010000010011 -11101100000010001111101100000000 -00111110110000000000111110110000 -00000011110100010000010000100000 -00000000000000000000000000000000 -11001000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111100000000000001000000 -00000000000000000000000000000000 -11000000000001000100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110010000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111110000000000001010000 -00000000000000000000000000000000 -00100000000100000101111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110110100000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 -00000010111011000000000001000000 +11111001000000100011111011000000 +00001101101100000000001111101100 +00000000110010110000000000111110 +11000000000011001011000000000011 +11101100000000001100101100000000 +00110010110000000000110010101000 +00000011000100000000010000100000 00000000000000000000000000000000 -01001000000010000100110000000000 -11110011000000000011110011000000 -00001111001100000000001111001100 -00000000111100110000000000111100 -11000000000011110000001000000011 -11001100000000001111001100000000 -00111100110000000000111100110000 -00000011110100100000001000000000 +11001000000001010010111101000000 +01101000001000010010111111110100 +00001000111100000000001011011100 +00000000100011110000000000100011 +11000001000010001011000000010010 +11101100000000001000101100000000 +00100010000000000000100010100100 +00000000001100100000000001000000 +00000000000000000000000000000000 +11100000000001010100001100000000 +10110010100000000010110011000100 +01001001001100000000001011001100 +00000000100010110000000000100000 +11000001000010000011000000000010 +11101100000000001000101100000000 +00100000110000000000100000100000 +00000010001110000000000001010000 00000000000000000000000000000000 -01000000000101011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111110000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +01100000010000010001001000100000 +10100111100101000010110111100100 +00001001011110010000001011011110 +00000000100001111000000000100000 +11100000010010000111100000000010 +11011110000000001000011110000000 +00100001111000000000100001101100 +00000010000110000000000001000000 +00000000000000000000000000000000 +01001000000010000000100000000000 +11110010000000110011110011000000 +00011101001100000010001111001100 +00001000110000110000000000110000 +11000000000011000011000000000011 +11101100000000001100001100000000 +00110010110000000010110010100000 +00001011000100100000001000000000 +00000000000000000000000000000000 +01000000000010011011100000000100 +11111111000001000010110111000000 +00001110111100001100001111111100 +00000000111111110000000000110011 +11010000000011111111000000000011 +11111100000000100111111100010000 +00111111110001000000111111100000 00000011110100000000011001100000 00000000000000000000000000000000 -00001000000001011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111001000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111010100000000001110000 +10101000000001011110010100000000 +11111001111010000011000011000000 +00001110101100000000001100101100 +11000000111110111100000000110110 +11110100000011001011000000000011 +00101100000100001100101100000001 +00110010111000010000110010100000 +00000011001010100000000001110000 00000000000000000000000000000000 -01001000000110011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111100100000010001100000 +01001000000100011001010000100000 +10110111010000100011010111001100 +00001000011100100000101000011100 +10100000101101110111000000100001 +11000000001010000111000000000010 +00011100000000001000011100000000 +00100001110000000000100001100000 +00000010000100100000010001100000 00000000000000000000000000000000 -00100000000000001001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110101100000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 -00000010111000000000000000100000 +11000000010000001001111001001000 +10111101100010000110100111101100 +00011010011110000000001000011110 +00000000101101111000000000100100 +11100000000010000111100000000010 +01011110000000001010011110000000 +00100001111000100000100001101000 +00000010001100000000000000100000 00000000000000000000000000000000 -01101000000001001100110000000000 +01001000000101001100110100000000 10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011100100000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110100100000010000110000 +00011010101100000000011000001100 +00000000101100110000000000100000 +11000000000010000011000000001010 +01101100000000101010001100000000 +10100000111100000010100010100000 +00001010000100100000010000110000 00000000000000000000000000000000 11101000000101011010100000000000 -11111010000000000011111010000000 -00001111101000000000011111101000 -00000000111110100000000000111110 -10000000000011111110110000000011 -11101000000000001111101000000000 -00111110100000000000111110100000 -00000011111110100000010001100000 +11111010100000000011101010000000 +10001110101000000000001100101000 +00000000111110100000000000110110 +10000000000011001010000000000011 +01101000000000001110101000000000 +00110011100000000000110011100000 +00000011001110100000010001100000 00000000000000000000000000000000 -01001000000000011110000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 +01001000000000000110000000000000 +11111000110000000011011000000000 +00000101100000000000001111100000 00000000111110000000000000111110 -00000000000011110000000010000011 -11100000000000001111100000000000 -00111110000000000000111110000000 +00000000000011111000000000000011 +10100000000000000001100000000000 +00111110000000100000111110000000 00000011110100100000000000110000 00000000000000000000000000000000 -00001000000100001010010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 +00001000000100001110010000100000 +11111001000000000010110001100000 +00001100100100000000001100100110 +01000001111110010000000000101110 01000000000011111001000000000011 -01100100000000001111100100000000 -00111110010000000000111110010000 -00000011110000100000010000110000 +00100110100000001100100100000011 +10110010010000001000111110010000 +00000011000000100000010000110000 00000000000000000000000000000000 -10000000000001000110010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 +10000000000001000110110000001000 +10111011010000000010111001100100 +00001000100100000000001000100100 00000000101110010000000000101110 -01000001010010111001000000000010 -00100100000000001011100100000000 -00101110010000000000101110010000 -00000010111000000000000000010000 +01000000000010111001010000000010 +00100111000000001000100101000000 +00100010010100000100111010010100 +00000010001000000000000000010000 00000000000000000000000000000000 -00111000000001010010010000000000 +00011000000001010010010000000000 10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -01100100000000001011100100000000 -00101110010000000000101110010000 -00000010110001100000000001000000 +00001000100100000000001000100100 +00010000101110010000000000101110 +01000000000010110001000010000010 +00100100001001001000000100001100 +00100010010000100000101110010000 +10000010000001100000000001000000 00000000000000000000000000000000 -00101000000101000000010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001001010000010 -00000100000000001011000100000000 -00101100010000000000101100010000 -00000010110000100000000100000000 +00001000000001000000010000000000 +10110011000001000010110001001010 +00001000000100100000001000000100 +10100000101100010010100000101100 +01001010000010110001000001000010 +00000100000000001000000100000000 +00100000010000000000101000010000 +00000010000000100000000100000000 00000000000000000000000000000000 10111000000011010110000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000001000000011 -01100000000000001111100000000000 -00111110000000000000111110000000 -00000011111011100000001101010000 -00000000000000000000000000000000 -10011000000101011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000010011111101000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 +11111000000000010011111010001000 +00101100000000000001001100100000 +10001000101100000010000000111110 +00001000000011110000010101000011 +00000001010000101100000001010000 +00110000000101000000111100000101 +00001011001011100000001101010000 +00000000000000000000000000000000 +10011000000111011110110000000000 +11110001000000000011111001000000 +00001111100100111000101111100100 +00000000111110010010100000111110 +01001010000011111001100000001011 +11100110000000001111100110000000 +10111111011000000000111010011000 00000011111001100000011001110000 00000000000000000000000000000000 -00011000000001011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011110001100000000001110000 +10011000000001011010010000000000 +11111001000000100011110101001000 +00101100100100101000001100110100 +10000000111110010000000000111110 +01000000000011111001010000000011 +11100101000000001100100100000000 +00111110010000000000110010010100 +00000011001001100000000001110000 00000000000000000000000000000000 -00111000000110001110000000000000 +00111000000100001110000000000000 10111000000000000010111000000000 -00001011100000000000001011100000 -00000000101110000000000000101110 -00000000000010111000000000000010 -11100000000000001011100000000000 -00101110000000000000101110000000 -00000010110011100000010000110000 +00001000100000100000101000100000 +01000000101110000100100000101110 +00010111000010111000000000000010 +11100000100000001000100000100100 +00101100100010010000101011000000 +00000010000011100000010000110000 00000000000000000000000000000000 -01001000000000001000010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001010000000010 -11000100000000001011000100000000 -00101100010000000000101100010000 -00000010110100100000000101110000 +00001000000001001100010000000000 +10110001000000100110110001000100 +00001000000100101000001000000100 +00000001101100010011000000101100 +01000000000010110001000000000010 +11010100100000001000010100001000 +00101111010000100000100001010010 +00000010000000100000000101110000 00000000000000000000000000000000 -00011000000001001010010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000100000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010110001100000010001100000 +00011000000101011010010001000001 +10111001000000010110111001000000 +00001000100100000000001000100100 +00000001100110010000000000101110 +01000000000110111001000000000010 +11010100000000001000110100000000 +00101111010010000000101001010000 +00000010000001100000010001100000 00000000000000000000000000000000 -10100000000101011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000101110 -01000000000011111001100010000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011111010000000010001110000 +10100000000100011110010100000000 +11111001000000100011111001000000 +01001100100100000000001000100100 +00000100111110010000000000111110 +01000000000011111001000001000011 +11100100000000001100100100000000 +00111100010100000000110010010000 +00001011001010000000010001110000 00000000000000000000000000000000 -01001000000000011010010000000000 +00101000000000011010011000010100 11111001000000000011111001000000 -00001111100100000000001111100100 +00001111000100000000001111100100 00000000111110010000000000111110 01000000000011111001000000000011 -11100100000000001111100100000000 +11100100000000101111100100000000 00111110010000000000111110010000 -00000011110110100000000001100000 +00000011110010100000000001100000 00000000000000000000000000000000 -00001000000100001010000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000010000000011 +00101000000100001010000100000100 +11111000001100100011101000000010 +00101100100000000000001100100000 +01000000110010000000000000111110 +00000000000011111000000000000011 11100000000000001111100000000000 -00111110000000000000111110000000 -00000011110010100000010000100000 +00110010000100000010110011000000 +00000011000010100000010000100000 00000000000000000000000000000000 00101000000001010010100000000000 -10111010000000000010111010000000 -00001011101000000000001011101000 -00000000101110100000000000101110 +10111010010000000010110110000000 +00001000101000000001001101101000 +00000000100010100000000000100010 10000000000010111010000000000010 -11101000000000001011101000000000 -00101110100000000000101110100000 -00000010110010100000000001000000 +11101000000000001011101010000000 +00100010101000000000100011100000 +00000010000010100000000001000000 00000000000000000000000000000000 00101000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 +10110011000000000010100011000100 +00101001001100000000001000000110 +00000000100100110000000000101000 11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110010100000000001010000 +11001100000000001011001110000000 +10100000111000000000100000101000 +00000010000010100000000001010000 +00000000000000000000000000000000 +10100000010000010001110000000001 +10110111000000100010110111000000 +01011001001100010000001001010110 +00000001100101111010000000100001 +11000100000010110111000000000010 +11011100000000001011011110001000 +00100001110000100000100001100100 +00000010001010000000000001000000 +00000000000000000000000000000000 +10101000000010000001111001000000 +11110111100100000011100110100000 +01001101011110001100001100000110 +00000010110100111100000000111101 +11101000000011110110100000000011 +11010010000000001111111010000000 +00110001101000000000110011111000 +00001011001010100000001000000000 +00000000000000000000000000000000 +00001000000111011010110010010000 +11111011001000100010111011000000 +00001110101101100000001111100100 +00000000111010110010100000111110 +11011010000011111010000000000011 +11100000000000001111101000000000 +10111110100000000000111110110000 +00000011110000100000011001100000 00000000000000000000000000000000 -10000000000100010001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 +01000000000001011111111000000000 +11001110100000000010111111100100 +00001110111110000000001111111110 +00000000111111111000000000111111 +11100000000011111111100000000011 +00111110000000001100110110000000 +00110011011000000000110011001000 +00000011000100000000000001110000 +00000000000000000000000000000000 +10101000000100011001110000000000 +11010100000100000010110111001100 +00001000011100010000001011011100 00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010000000000001000000 -00000000000000000000000000000000 -10001000000010000001111000000000 -11110111100000000011110111100000 -00001111011110000000001111011110 -00000000111101111000000000111101 -11100000000011110111100000000011 -11011110000000001111011110000000 -00111101111000000000111101111000 -00000011111010100000001000000000 -00000000000000000000000000000000 -00001000000101011010110000000000 -11111011000000000011111011000000 -00001111101100000001001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110000100000011001100000 -00000000000000000000000000000000 -00000000000001001011111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -01000000111111111000000000111111 -11100000000011111111100000000011 -00111110000000001111111110000000 -00111111111000000000111111111000 -00000011110000000000000001110000 +11000000000010110111000000001010 +00011100000000001000110100000000 +00100011010000000000100001000000 +00000010001010100000010001100000 00000000000000000000000000000000 -10101000000110001001110000000000 -10110111000000000010110111000000 -00001011011100000000001111011100 +00010000000000001011110001000000 +10000110000000000010110111000000 +00001010011100000000001011010100 00000000101101110000000000101101 -11000000000010110111000000000011 -01011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010100000010001100000 -00000000000000000000000000000000 -00000000000000001001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000001101101110000000000101101 -11000000000010110111000000000010 -01011100000000001011011100000000 -00101101110000000000101101110000 -00000010110000000000000000100000 +11000000000010110110000000000010 +00010000010000001000010000000000 +00100001000000000000100001011000 +00000010000000100000000000100000 00000000000000000000000000000000 -00100000000001001000110000000000 -10110011000000000010110011000000 -00001011001100000000001010001100 -00000000101100110000000000101100 -11000000000010110011110110000010 -01001100000000001011001100000000 -00101100110000000000101100110000 -00000010110010000000010000110000 +01100000000101001100110000000000 +10010000000000000000110011000000 +00001000001100000001001011000100 +00000000101100110000001000101110 +11000000000010110010000000000010 +00100000000000001001000000000000 +00100000000001000000100000010000 +00000010000110000000010000110000 00000000000000000000000000000000 -10101000000101011010110000000000 -11111011000000000011111011000000 -00001111101100000000001011101100 -00000000111110110000000000111110 -11000000000011111011010000000011 -01101100000000001111101100000000 -00111110110000000000111110110000 -00000011111010100000010001100000 +10111000000101011010000000000000 +11001001000110000010111011000000 +00001010111100000000001111100100 +00000000111111110000000000111111 +11000000000011111011000000000011 +00101100000000001100101100000000 +10110010111000000010110010100000 +00000011001011100000010001100000 00000000000000000000000000000000 10000000000000001110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 +11111001010000000011110011010000 +00001111101100000000001111100100 00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111000000000000000110000 -00000000000000000000000000000000 -00100001000100001111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011110011000000000011 -00111100000000001111111100000000 -00111111110000000000111111110000 -00000011110000000100010000110000 -00000000000000000000000000000000 -10100001000001000110110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 +11000000000011111011000000010011 +11101100000000101110101100000000 +00111110110000000000111110100000 +00001011111000000000000000110000 +00000000000000000000000000000000 +10000000000100001111001000000000 +11111100110001000011111101100000 +00001100111100000000001111110100 +00000000111111110000000001011111 +11000000001011001110000000000011 +11110000000000001100111000000000 +00111111100000100000111111110000 +00000011001000000000010000110000 +00000000000000000000000000000000 +10000101000001000110111000000100 +10111000100010000010111011110010 +00001000101100000000001011100100 00000000101110110000000000101110 -11000000000010111011000000000010 -00101100000000001011101100000000 -00101110110000000000101110110000 -00000010111000000000000000010000 -00000000000000000000000000000000 -10000000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101010110000000000101110 -11000000000010111011000000000110 -00101100000000001011101100000000 -00101110110000000000101110110000 -00000010111000000000000001000000 +11000000000010001010000000000010 +11100000000000001010101000000000 +00101110100000000000101110110000 +00000011001000000100000000010000 +00000000000000000000000000000000 +10000000000001010010000101000000 +10111011000000010010111011001000 +00001000101100000000001011101110 +00000000101110110000000000101110 +11000000000010001011000000000010 +11101100000000011000100100000000 +00101110010000000000101100000000 +00000010001000000000000001000000 00000000000000000000000000000000 -00001000000101000000110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 +00001000000001000000110000000100 +10110001000000000010110011000000 +00101000001100000000001011001100 00000000101100110000000000101100 -11000000000010110011000000001110 -00001100000000001011001100000000 -00101100110000000000101100110000 -00000010110000100000000100000000 -00000000000000000000000000000000 -00000000000011010110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111010110000000000111110 -11000000000011111011000000000011 -00101100000000001111101100000000 -00111110110000000000111110110000 -00000011110000000000001101010000 -00000000000000000000000000000000 -10100000000101011111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 -00000011111010000000011001110000 +11000000000010000011000000000010 +11001100000000001010000100000000 +00101100010000000000101100000000 +00001010000000100000000100000000 +00000000000000000000000000000000 +10000000000011010110000000000000 +11111010000000000111111011000000 +00001100111100000000001111101100 +00000000111111110000000000101111 +11000000100011000010000000000011 +11000000000000001100100000000001 +00111110000000010000111100010000 +00000011001000000000001101010000 +00000000000000000000000000000000 +10100000000111011101110000010000 +11111100000000000011110111000000 +00011111111100000000001111111100 +00001000111111110000000000111111 +11000000000011111110000000000011 +11110000000000001111110000000000 +00111111000000000000111111010000 +00000011101010000000011001110000 00000000000000000000000000000000 -11000000000001011111111000000000 +11000000000000011111111000000000 11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111000000000111111111000 -00000011111100000000000001110000 +00001111110010010000001111111100 +01001100110011000010001100101011 +00100000000011000100100000000011 +11110000000000001100111000000000 +00110011110000001100110011010000 +00100010001100000000000001110000 00000000000000000000000000000000 10000000000100001110111000000000 -10111011100000000010111011100000 -00001011101110000100001011101110 -00000000101110111000000000101110 -11100000000010111011100000000010 -11101110000000001011101110000000 -00101110111000000000101110111000 -00000010111000000000010000110000 +10111011100000000010111011001010 +00000011101000000000001011111101 +11010000110110000101000100100010 +10100001010010001000100000000010 +11101110000100101000101000000100 +00100010111000000000100010001000 +00000010001000000000010000110000 00000000000000000000000000000000 10001000000001011100110000000000 10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111000100000000101110000 +00001010000100100101001011001100 +00000000100000000010100000101000 +00000000000010000000000000100010 +11000000000000001000100000000000 +00100000110000000010100000000000 +01000010001000100000000101110000 00000000000000000000000000000000 11000000000101011010110000000000 10111011000000000010111011000000 -00001011101100000000011011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111100000000010001100000 +00001011101000000000001011101100 +00000000100110011100000000101010 +11100010001010001010100000000010 +11101111000000001010100100001000 +00100010110100010000100010001100 +00000010001100000000010001100000 00000000000000000000000000000000 -00000000000101011110110000000000 +01000000000101011110110000000000 11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110000000000010001110000 +00001110100000000000001111101100 +00000000110010001100000100111010 +10110000000011001000100000100011 +11101110000000001100001000000010 +00110010101000000000110010011000 +00000011000100000000010001110000 00000000000000000000000000000000 11100000000000011011110000000000 11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 -00000011111110000000000001100000 +00001111110110100000001111111100 +00001000111110110000001000110111 +10000000000011111111000000000011 +11001100000000001101111100000000 +00111100110100000010111100010000 +00001011111110000000000001100000 00000000000000000000000000000000 01000000000100001010110000000000 11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110100000000010000100000 +00001100100100000000001111101100 +00000000110010000100000001110010 +11110010000011111000000000000011 +00101001000000001100101000100000 +00110010100001000000110010010101 +00000011000100000000010000100000 00000000000000000000000000000000 11001000000001010010110000000000 10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111100100000000001000000 +00001010100100000000001011111101 +00010000101010111100000010110100 +10010000000010111011101100010010 +00101101110000101000101101001100 +00100010110000001000100010110000 +00000011001100100000000001000000 00000000000000000000000000000000 11100000000001010100110000000000 10110011000000000010110011000000 -00001011001100000100000011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111110000000000001010000 +00011000000000000000001011101110 +01100100100110000000100000000000 +10000000000010110010000000000010 +00000001000000001010001011000010 +00100000011000000000100000101000 +00000010011110000000000001010000 00000000000000000000000000000000 -01100000000000010001111000000000 +00100000000000010001111000000000 10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 -00000010110110000000000001000000 +00001010011010000000001011011110 +00001000101101001001000000100001 +10100100000010110110100100001010 +00011110000001001010001010000000 +00100001111100000110100001001000 +00000000000010000000000001000000 00000000000000000000000000000000 01001000000010000000110000000000 -11110011000000000011110011000000 -00001111001100000000001111001100 -00000000111100110000000000111100 -11000000000011110011000000000011 -11001100000000001111001100000000 -00111100110000000000111100110000 -00000011110100100000001000000000 +11110011000000000011111011000000 +00001100000100000000001111101100 +00000000110100110000100010100000 +10000100000011110010000000000011 +00001100010000001110000100000000 +00110000010000000000110000100000 +00001011010100100000001000000000 00000000000000000000000000000000 01000000000111011011110000000000 11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +10001111111000000000001111111100 +01100000111011010000000000111111 +10000101000011111110000000010011 +11111100000010001101110100000000 +10111111010000000100111111000000 00000011110100000000011001100000 00000000000000000000000000000000 10101000000001011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111010100000000001110000 +11111011000000000010111011000000 +11000011001010000000001100101100 +10000000110010010000000010110010 +10000000000011000010000000000011 +00101100000010000011101100000000 +00110010110000000010110010110000 +00000011001010100000000001110000 00000000000000000000000000000000 01001000000100011001110000000000 10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010110100100000010001100000 +00001011011100000000001101011100 +10100010100001110000000000100001 +10000000000010000110000000000010 +00011100000000001011011100000000 +00100000110000000000100001010000 +00000010000100100000010001100000 00000000000000000000000000000000 11000000000000001001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 -00000010111100000000000000100000 +10110111100000000010110111100001 +00001011111110000100001000001110 +10000000100000111000000000100001 +10100000000010000110100000000010 +00011110000000001011011110000100 +00100101101000000000100000111100 +00101010001100000000000000100000 00000000000000000000000000000000 01001000000101001100110000000000 10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110100100000010000110000 +00001011001100000000001001101100 +00000000100000111000000000100000 +10000000000010000011010010000010 +00001101100101001011001111000000 +10100100110101000000100000110100 +00000010000100100000010000110000 00000000000000000000000000000000 11101000000101011010100000000000 -11111010000000000011111010000000 -00001111101000000000001111101000 -00000000111110100000000000111110 -10000000000011111010000000000011 -11101000000000001111101000000000 -00111110100000000000111110100000 -00000011111110100000010001100000 +11111010000000000010111010000000 +00001111111000000000001100101000 +00000000110011101001000000110011 +10010000000011001110000000000011 +00111001100100001111111010100000 +00110111101001000000110011101000 +00000011001110100000010001100000 00000000000000000000000000000000 01001000000000001110000000000000 11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 -00000011110100100000000000110000 +00001111100000011000001111100000 +00000000111110000101000100111110 +00010010011011111000010100001011 +11100000000000001111000001001000 +00111010000000000100111110000000 +10010011110100100000000000110000 00000000000000000000000000000000 00001000000100001110010000000000 11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011110000100000010000110000 +00001100100100000000001111100100 +01010000110000010001000000110010 +01100000000011011001100000000011 +00100110010000001111100110000000 +00110000010000000000110000010010 +00000011000000100000010000110000 00000000000000000000000000000000 10000000000001000110010000000000 10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010111000000000000000010000 +00001010100100000000001011100111 +00000000101010010100100000101000 +01110010000010000001010000001010 +00100111000000001011100100100001 +00100010010000001000100010010000 +00000010001000000000000000010000 00000000000000000000000000000000 00011000000001010010010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010011001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010110001100000000001000000 +10111001000000000010110001000000 +00001000100100000000001011100101 +00000000100010010000000000100010 +01000100000010011011000100000010 +00100100001000001011100100010000 +00100010010001000000100010010000 +00000010000001100000000001000000 00000000000000000000000000000000 00001000000001000000010000000000 10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11000100000000001011000100000000 -00101100010000000000101100010000 -00000010110000100000000100000000 +00001010000100000000001011000100 +10100000101000010010000000101010 +01000001000010001011000000100010 +00000100100010001011000100100000 +01100000011010000000100000010010 +00000010000000100000000100000000 00000000000000000000000000000000 10111000000011010110000000000000 11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 -00000011111011100000001101010000 +00001100100000000000001111100001 +11000000110010000101000000110010 +00000000000011011000000001000011 +00100000000000001111100001010000 +10110010000000000010110010000000 +00001011001011100000001101010000 00000000000000000000000000000000 10011000000111011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 +11111001000000000111111001001010 +00001111110100101010001111100100 +00000000111111010001000100111101 +01000000000011111101000000000011 +11110100010000001111010100010000 +00111111010001000000111111010001 00000011111001100000011001110000 00000000000000000000000000000000 -10011000000001011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011111001100000000001110000 +00011000000001011110010000000000 +11111001000000000011111001010000 +00001111100101000000001100110101 +00000000111111010000000000110001 +11000000000011001101000000000011 +00100100100000001100110100100000 +00110011010010100000111111010000 +00000011000001100000000001110000 00000000000000000000000000000000 00111000000100001110000000000000 -10111000000000000010111000000000 -00001011100000000000001011100000 -00000000101110000000000000101110 -00000000000010111000000000000010 -11100000000000001011100000000000 -00101110000000000000101110000000 -00000010110011100000010000110000 +10111000000000000010111000001000 +00001011101000100011001101100001 +00000000101110000110100000110110 +00000000001010001000000001000010 +00100000000100001000100000101000 +00100010000000000100101110000001 +00000010000011100000010000110000 00000000000000000000000000000000 00001000000001011100010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11000100000000001011000100000000 -00101100010000000000101100010000 -00000010110000100000000101110000 +10110001000000000010110001001000 +00001011100100100001001000000101 +10000001101100010100000100100000 +01000000000010000001000000000010 +00001101000000101000000100110000 +10100000011000000000101100011000 +00001010000000100000000101110000 00000000000000000000000000000000 00011000000101011010010000000000 10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010110001100000010001100000 +10001011100100000000001001100100 +00000000101110010000100000100110 +01000000000010001001010001001010 +00100110001100001000100100000000 +01100010011000000000101110010001 +00000010000001100000010001100000 00000000000000000000000000000000 10100000000101011110010000000000 11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011111010000000010001110000 +00001111000101000000001100100100 +00000000111110011000000000110010 +01010010000011000001000000000011 +00100101000000001100100110100000 +10110010010000010000111110010100 +00000011001010000000010001110000 00000000000000000000000000000000 00101000000000011010010000000000 11111001000000000011111001000000 -00001111100100000000001101100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 +01001111100100000010001111100100 +00000000111110011100000000111110 +01000000000011111001010010000011 +11001100000001001111100100100000 +00111110010000000000111100010000 00000011110010100000000001100000 00000000000000000000000000000000 00101000000100001010000000000000 11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 -00000011110010100000010000100000 +00001111100000000001001111100000 +00100000111110000000000000111110 +00010010000011001000010101000011 +11100011000000001111000001000000 +00110010000011000000110010000000 +00001011000010100000010000100000 00000000000000000000000000000000 00101000000001010010100000000000 10111010000000000010111010000000 -00001011101000000000001011101000 -00000000101110100000000000101110 -10000000000010111010000000000010 -11101000000000001011101000000000 -00101110100000000000101110100000 -00000010110010100000000001000000 +10001011101000000001001011111000 +10000100101111101100000000101101 +10101000000010001110010000000010 +11101000000000001011111010010000 +10100011101000000100100010100000 +00000010000010100000000001000000 00000000000000000000000000000000 00101000000001010100110000000000 10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110010100000000001010000 +00001011001100000000001011001101 +00000000101110110111000000101100 +10000000000010000001000000010010 +11001100000000001011001111000000 +00100000101000000010100000110000 +00000010000010100000000001010000 00000000000000000000000000000000 10100000000000010001110000000000 10110111000000000010110111000000 -00001011011100000000001011011100 +10001011011100100000001011011100 00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010000000000001000000 +11000000001010010101000000000010 +11011100000000001011011000001100 +00100101101000000000100000110000 +00000010001010000000000001000000 00000000000000000000000000000000 10101000000010000001111000000000 -11110111100000000011110111100000 -00001111011110000000001111011110 -00000000111101111000000000111101 -11100000000011110111100000000011 -11011110000000001111011110000000 -00111101111000000000111101111000 -00000011111010100000001000000000 +11110111100000000011110111100100 +00001111011110001000001111011110 +00001001111101011000000000111101 +01100000000011000101100000000011 +11010010010010001111111010000000 +10110000001000000000110001111000 +01000011001010100000001000000000 00000000000000000000000000000000 00001000000111011010110000000000 -01111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +11111011000000000011111011001100 +00001111101101100000001111100000 +00010001111110010000010100111110 +11000000000011101001000000010011 +11101101000000001111101000000000 +00111010000000010010111110110000 00000011110000100000011001100000 00000000000000000000000000000000 -01000000000001011111111001000000 -11111111100100000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111000000000111111111000 -00000011110100000000000001110000 +00000000000001011111111000000000 +11111111100000000011111111100000 +00001111111110001000000000110110 +01000000110011111000000000110011 +01100000010011001100100000100011 +11110010010000001111110110000000 +00110001101000001000110011111000 +00000011000000000000000001110000 00000000000000000000000000000000 10101000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010100000010001100000 +10110111000000000010110111000001 +00001011011100010000001000110100 +01001000000011000000000000101001 +01001000000010000101000000000010 +11010000000000001011110000010000 +00100001000001000000101001110001 +10010010001010100000010001100000 00000000000000000000000000000000 -00000000000000001001110000000100 -10110111000001000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010110000000000000000100000 +00000000000000001001110000000000 +10110111000000000010110111000000 +00001011011100000000001001011100 +00001000100001110000000000100001 +01000000000010000111000000000010 +11010000000010001011011000000000 +00100001000000000000100001110000 +00000010000000000000000000100000 00000000000000000000000000000000 -01100000000101001100110000000000 +00100000000101001100110000000000 10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000110110110000000010000110000 +00001011101101000000001001000000 +00000000100010001100000000101000 +01010000000010000001010010010010 +11000011000000001011101000000000 +10100000001100110000101000111100 +00000010000010000000010000110000 00000000000000000000000000000000 10101000000101011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111011100000010001100000 +10111011000000000011111111000000 +00001111111100000000001101101100 +00000000110010110000000000110010 +00110000000011000001100000000011 +11100000100000001111101000000000 +10110010101110000000110010110100 +00000011001010100000010001100000 00000000000000000000000000000000 -10010100000000001110110000000000 +10000000000000001110110000000000 11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +01001111101100010000001110001100 +00000000111110110001000000111110 +01100000001011111001000100000011 +11100000000000001111100000001000 +00111100100100000000111110110000 00000011111000000000000000110000 00000000000000000000000000000000 -10000000000100001111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 -00000011111001000000010000110000 +00000001000100001111110000000000 +11101111000000000011111111000000 +00001111111100001000001100110100 +00000000110011000000100000110011 +01000010000011111101010000000011 +00110010001010001100111000000000 +00110011000000000010110010111000 +10000011110000000100010000110000 00000000000000000000000000000000 10000001000001000110110000000000 10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 +00001011101100000000001000100010 +00000000100010001000001010100010 +01100000000010111001000010100010 +00000000000000001000100010000100 +00100010001010000010100010011000 00000010111000000100000000010000 00000000000000000000000000000000 10000000000001010010110000000000 10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 +00001011101100000000001000100010 +00000000101010011100000000100010 +01100000000010111011000000000010 +00100000101000001000100110000000 +10100010101000000000100010110010 00000010111000000000000001000000 00000000000000000000000000000000 00001000000001000000110000000000 10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 +00001011001100000000011000000010 +00000000100000000000000000100000 +01000000000010110001000000000010 +00000000000000001000000010000000 +00100000100000010000100000110000 00000010110000100000000100000000 00000000000000000000000000000000 -10000000000011010110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111000000000001101010000 +00000000000011010110110000000000 +11111011000000000011111111000000 +00001111011100000000001100100000 +00000000111010000000000000110010 +01000001000011111001000001000011 +00100000000000101100101000000000 +00110010000000000000110010110000 +00000011110000000000001101010000 00000000000000000000000000000000 10100000000111011111110000000000 11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +00000111111100000000001111110000 +00000000111111000000010000111101 +01000000100011111101000000011011 +11110000000000001111110000000100 +00111111010000000000111111010000 00000011111010000000011001110000 00000000000000000000000000000000 -11000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111000000000111111111000 +11000000000001011111101000000000 +11111111000100000011001111100000 +00001100111110000000001100110110 +00000000111111011000000000110111 +01100000000011111111000000000011 +00111110000000001111011110000000 +00110111111000000000110011111000 00000011111100000000000001110000 00000000000000000000000000000000 -10000000000100001110111000000000 -10111011100000000010111011100000 -00001011101110000000001011101110 -00000000101110111000000000101110 -11100000000010111011100000000010 -11101110000000001011101110000000 -00101110111000000000101110111000 +10000000000100001010111000000000 +10111111010001010010001011001000 +00101000101100101000001000100110 +00000000101110011000000000100010 +11100000000010111111010000000010 +00101110000010001011101110000000 +00100010111000000000100010111000 00000010111000000000010000110000 00000000000000000000000000000000 -10001000000001011100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111000100000000101110000 -00000000000000000000000000000000 -11000000000101011010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 +10001000000001001100000000000101 +10110011001100000010000011000010 +00001010001100000000001000000100 +00000000101110010000000000100100 +01000000000010110011010000000010 +00001100000000001011100100000000 +00100010110000000000100000110000 +01000010111000100000000101110000 +00000000000000000000000000000000 +11000000000101011010100000000100 +10111011000000000100001011000000 +00001010001100000010001000101110 +00000000101110110000000000100010 11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 +00101100000000001011101110000000 +10100010110000000010100010110000 00000010111100000000010001100000 00000000000000000000000000000000 -01000000000101011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +01000000000101010110000000000000 +11111011000000001001001011000001 +00001110101100000000001100100100 +00000000101100010000000000110110 +01000000000011111011000000000011 +00101100000000001111101110100000 +00110000011001000000110010110000 00000011110100000000010001110000 00000000000000000000000000000000 -11100000000000011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 +11100000000000011011010000000000 +11111011000000000011111111000001 +00001101111100000000001111110100 +00000000111111010100000000111111 +11110010000011111111000000001011 11111100000000001111111100000000 -00111111110000000000111111110000 +00111011110000000000111111110000 00000011111110000000000001100000 00000000000000000000000000000000 -01000000000100001010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +01000000000100001010000000000000 +11101011000000000011001011000000 +00001111101100000000001111100100 +00000000011110010000000000111110 +01000000000011111011000000000011 +00101100000000001111101110000000 +00110010110000000000111110110000 00000011110100000000010000100000 00000000000000000000000000000000 -11001000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 +11001000000001010010100000000000 +10111111000000000010001011000000 +00000011101100000000001011101101 +01000000101110110000000000101110 +11000000000010111111000000000010 +00101100000000001011001111010000 +00110110111101000000101110110000 00000010111100100000000001000000 00000000000000000000000000000000 -11100000000001010100110000000000 -10110011000000000010110011000000 +11100000000001010100100100100000 +10101011000000000010111011000000 00001011001100000000001011001100 00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 +01000000000110111011000001000010 +00001100000000001011001100000000 +00100000111000000000101100110000 00000010111110000000000001010000 00000000000000000000000000000000 00100000000000010001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 +10110111100000100010110111100000 +00011011011110000000001011010110 +00000000101101111100100000101101 +01100010000010110111100000000010 +00011110000000001011011110000010 +10100101111000100000101101111000 00000010110010000000000001000000 00000000000000000000000000000000 -01001000000010000000110000000000 -11110011000000000011110011000000 -00001111001100000000001111001100 -00000000111100110000000000111100 -11000000000011110011000000000011 -11001100000000001111001100000000 -00111100110000000000111100110000 +01001000000010000000010101000000 +11100011000100001011110011000100 +00001111001100010110001011001100 +00000000111100010000000000111100 +11000000000011111011000000000011 +00001100010000001111001100000000 +00110000110001000000111100110000 00000011110100100000001000000000 00000000000000000000000000000000 -01000000000111011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 +01000000000111011011110000100000 +11111111000101000011001111000101 +00001111111100010000001111111100 +00000000111111110000100000111111 +11000000010011111111000000000011 11111100000000001111111100000000 -00111111110000000000111111110000 +00111011110000000000111111110000 00000011110100000000011001100000 00000000000000000000000000000000 -10101000000001011110110000000000 -11111011000000000011111011000000 -00001111101100000000001101101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +10101000010001011110000110110000 +11101011000000100011111011000001 +00001111101100000000001111101110 +00000000110110110000000100111110 +01000000010011111011000000000001 +00101100000000001111001100000000 +00110110110000000000111110110000 00000011111010100000000001110000 00000000000000000000000000000000 -01001000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010110100100000010001100000 -00000000000000000000000000000000 -11000000000000001001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 +01001000000100011001010010000000 +10110111001100000010110111000000 +00001011011100000001001011010101 +10100000100001110010100000101101 +01010010010010111111001101100010 +10011100000000001011011000000000 +01110001110000000000101101110000 +00000010110100100000000001100000 +00000000000000000000000000000000 +11000000010000001001011110011000 +10110111100100000010110111100000 +00001011011110000000001011111110 +00000000100101011000000000101101 +11100000000010110111101100000110 +00011110000000001011111110001000 +00100001111000000000101101111000 00000010111100000000000000100000 00000000000000000000000000000000 -01001000000101001100110000000000 +01001000000101001100111000000000 10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 +00011011101100000000001011001100 +00000000100100110000000000101100 11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 +10001100000000001011001010000000 +10100000111000000000101100110000 00000010110100100000010000110000 00000000000000000000000000000000 -11101000000101011010100000000000 +11101000000101011010101000000000 11111010000000000011111010000000 -00001111101000000000001101101000 -00000000111110100000000000111110 +00001111101000000000001111101000 +00000000110110100000000000111110 10000000000011111010000000000011 -11101000000000011111101000000000 -00111110100000000000111110100000 +00101000000000001111111001000000 +00110000101000000000111110100000 00000011111110100000010001100000 00000000000000000000000000000000 -01001000000000001110000000000000 +01001000000000001110000100000000 11111000000000000011111000000000 00001111100000000000001111100000 -00000000111110000000000000111110 +00000000111010000000000000111110 00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 +11100000000000001111100000001000 +00111010000001000000111110000000 00000011110100100000000000110000 00000000000000000000000000000000 00001000000100001110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 +11111001000000100011111001000000 +10001111100100000010001100100100 00000000111110010000000000111110 -01000000000001011001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 +01000000000011110001000000000011 +00100100000000001111100100000000 +10110010010100000000111010010000 00000011110000100000010000110000 00000000000000000000000000000000 -10000000000001000110010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 +10000000000001000110111010000000 +10111001000000000010111001000001 +00001011100100000000001000101100 +00000000101110110000000000101110 +11000000010010111001000000000011 +00100100000000001011000100000000 +00100010010100000000100010010000 00000010111000000000000000010000 00000000000000000000000000000000 -00011000000001010010010000000000 +00011000000001010010010010010000 10111001000000000010111001000000 -00001010100100000000001011100100 -00000000101110010000000000101110 -01000000000010011001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 +00001011100100000000001000100110 +00000001101110011000000000101110 +01010000000010111001000000000010 +00100100000000001011100100100000 +00100010010000100000101010010000 00000010110001100000000001000000 00000000000000000000000000000000 -00001000000001000000010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11000100000000001011000100000000 -00101100010000000000101100010000 -00000010110000100000000100000000 +00001000010001000000010000000000 +10110001001010000010110001000000 +00001011000100000000001000000100 +00010001101100010000000000101100 +01000000000010110001001010000010 +00000100000000001011100100000000 +00100000010000000000100000010000 +00000010110000100000010100000000 00000000000000000000000000000000 10111000000011010110000000000000 -11111000000000000011111000000000 -00001110100000000000001111100000 +11111000001000010010111000000000 +10001111100000000000001100100000 00000000111110000000000000111110 -00000000000011011000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 -00000011111011100000001101010000 -00000000000000000000000000000000 -10011000000111011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 +00000000000011111000011100001011 +00100000000001001111100000000100 +00110010000000000000111010000000 +00000011111011100000000101010000 +00000000000000000000000000000000 +10011000000111011110010000000100 +11111001001010010011111001001010 +10001111100100101000101111000100 +00000000011110010000000000111110 01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011111001100000011001110000 +10100100000000001111110100000000 +00111111010000000000111110010000 +00000011111001100000010001110000 00000000000000000000000000000000 00011000000001011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 +11111001010000010011111001010000 +10001100100100000001001111100100 +00010000011110010000000100111110 +01000000010011011001001010000010 +11100100000000001111110100000000 +00111111010000000000111111010000 00000011110001100000000001110000 00000000000000000000000000000000 -00111000000100001110000000000000 -10111000000000000010111000000000 -00001011100000000000001011100000 -00000000101110000000000000101110 -00000000000010111000000000000010 -11100000000000001011100000000000 +00111000000100001110100000000001 +10111000001000000110111000000000 +00011101100000100000011011100000 +00010000101110000000000000101110 +00000000000010001000011000000010 +11100000000010001011100000000000 00101110000000000000101110000000 00000010110011100000010000110000 00000000000000000000000000000000 -00001000000001011100010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11000100000000001011000100000000 +00001000000001010100010000000000 +10110001011000000010110001000000 +00001000000100001000001011000100 +00000000101100110000000001101110 +11000000000010010001000010000010 +11000100000000001011001100000000 00101100010000000000101100010000 00000010110000100000000101110000 00000000000000000000000000000000 -00011000000101011010010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 +00011000000100011010010000000000 +10111001000000000010110001000000 +00001001100100000000001011101100 +00000000101110010001000000101110 +01000000000010001001000001000010 +11100100000000001011100110000000 00101110010000000000101110010000 00000010110001100000010001100000 00000000000000000000000000000000 -10100000000101011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 +10100000000100011110011100000000 +10111001000000000010111001000000 +00000000100100000000001011100100 +01000000101110011000000000111100 +01100000000011011001000000000011 11100100000000001111100100000000 -00111110010000000000111110010000 -00000011111010000000010001110000 +00101110010000000000111110010000 +00000010111010000000010001110000 00000000000000000000000000000000 -00101000000000011010010000000000 +00101000000000011010011100001000 11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 +10001111100100000000001111100100 +00100000111110011000100000111110 +01001001000011111001000000000011 +11100100000000001111100100000010 +00111110010010000000111110010000 00000011110010100000000001100000 00000000000000000000000000000000 -00101000000100001010000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 +00101000000100001010000001000000 +11111000000000000011101000000000 +00001110100000000000001111100000 00000000111110000000000000111110 00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 +11100000000000001111100010000000 +00111110001000000000111110000000 00000011110010100000010000100000 00000000000000000000000000000000 00101000000001010010100000000000 10111010000000000010111010000000 -00001011101000000000001011101000 -00000000101110100000000000101110 +00001000101000000100001111101000 +00100000111010100100000000101110 10000000000010111010000000000010 -11101000000000001011101000000000 -00101110100000000000101110100000 +11101000000000001011111000000000 +00111011100000000000101110100000 00000010110010100000000001000000 00000000000000000000000000000000 -00101000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001001001100 +00101000000001010100111000000000 +10110011000000000110100011000000 +00001010001100000000001011001111 00000000101100110000000000101100 11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 +11001100000001001011001100000000 +00101100111001000000101100110000 00000010110010100000000001010000 00000000000000000000000000000000 -10100000000000010001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 +10100000000000010001100000000001 +10110111001100000010110111001100 +00001010011100100000001010011000 +00000001101101110000000000101101 +11000000010010110111000000000010 11011100000000001011011100000000 00101101110000000000101101110000 00000010111010000000000001000000 00000000000000000000000000000000 -10101000000010000001111000000000 -11110111100000000011110111100000 -00001111011110000000001111011110 -00000000111101111000000000111101 -11100000000011110111100000000011 +10101000000010000001011001000000 +11110111101000000011101111100000 +00001110011110101001001011010110 +00101000111101011000100000111101 +10100010000011110111100000000011 11011110000000001111011110000000 00111101111000000000111101111000 00000011111010100000001000000000 00000000000000000000000000000000 -00001000000111011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +00001000000111011010000000000000 +11111011000010000011111011010000 +00001101101101110000001111100001 +10000000111010110000000000111110 +11000000000011111011000100000011 +11101100000000001111100100000000 +00111010110000000000111110110000 00000011110000100000011001100000 00000000000000000000000000000000 -00000000000001011111111000000000 -11111111100000000011111111100000 +00000000010001011111111000010000 +11001111100000000011001111110000 00001111111110000000001111111110 00000000111111111000000000111111 -11100000000011111111100000000011 +11100000010011111111100000000001 11111110000000001111111110000000 -00111111111000000000111111111000 -00000011110000000000000001110000 -00000000000000000000000000000000 -10101000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 +00111111111000000000111101111000 +00000011000000000000000001110000 +00000000000000000000000000000000 +10101000000100011001100000000000 +11010111000000000010000111000000 +00001111011100000000001011011000 +00000000101101000000000000101101 +01010110000010110111000000100010 +01011100000000001011011100010000 00101101110000000000101101110000 -00000010111010100000010001100000 +00000010001010100000010001100000 00000000000000000000000000000000 -00000000000000001001110000000000 -10110111000000000010110111000000 +00000000000000001011010000000000 +10000111000000000010000111000000 00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 +00000000101101010000100000101101 +10000000000010110111000000000010 11011100000000001011011100000000 00101101110000000000101101110000 -00000010110000000000000000100000 -00000000000000000000000000000000 -00100000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 +00000010000000000000000000100000 +00000000000000000000000000000000 +00100000000101001100001000000000 +10010011000000000010001011000000 +00011010001100000100001011001010 +00000000101100001000000000101100 +01000000000010110011000000000010 +01001100000000001011001101000000 00101100110000000000101100110000 -00000010110010000000010000110000 -00000000000000000000000000000000 -10101000000101011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111010100000010001100000 +00000010000010000000010000110000 +00000000000000000000000000000000 +10101000000101011010110010000000 +11001111000000000011001111000001 +00001011111100000000001111101110 +10000000111110101000000000111110 +01100000000011111111000000000011 +11101100000000001111001100000000 +00111110111000000000111110110000 +00000011001010100000010001100000 00000000000000000000000000000000 -10000000000000001110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +10000000000000001110110100000000 +11110011000000000011111011000000 +00000111101100000000001111101100 +00000000111110100000000000111110 +10000000000011110011000000000011 +01101100000000001111101110000000 +00111110111000000000111110110000 00000011111000000000000000110000 00000000000000000000000000000000 -00000001000100001111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +00000001000100001111101001100000 +11111111000000000011001111000000 +10001110111100000000001111110100 +00000000111111000000000000111111 +00000000000011111111000000000011 +00111100000000001111111100001000 +00110111110000000000110011110000 00000011110000000100010000110000 00000000000000000000000000000000 -10000001000001000110110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 +10000001000001000110100000000000 +10111011000000010010001011000001 +00001000101100000000001011100101 +00000000101110100000010000101110 +10000000000010111011000000000010 +00101100000000001011101100000000 +00100000111000000000100010110000 00000010111000000100000000010000 00000000000000000000000000000000 -10000000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 +10000000000001010010010000100000 +10111011000000000010001011000000 +00001010101100000000001011100010 00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 +01100000000010111011000000000010 +00101100000000001011101110000000 +00100110111000000000100010110000 00000010111000000000000001000000 00000000000000000000000000000000 -00001000000001000000110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 +00001000000001000000010000000000 +10110011000000000010000011000000 +00001000001100000000001011000000 +00000100101100001000000000101100 +00000000000010110011000000001010 +00001100000000001011001010000000 +00100000101000000010100000110000 00000010110000100000000100000000 00000000000000000000000000000000 -00000000000011010110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +00000000000011010110000000000000 +11110111000000000011001111000000 +10001110111100000000001111100000 +00000000111110010000000000111110 +00000000000011111011000000000011 +00101100000000001111101100000000 +00110110110000000000110010110000 00000011110000000000001101010000 00000000000000000000000000000000 -10100000000111011111110000000000 +10100000000111011101000000000000 11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +00001111111100000000001111110000 +00000000001111000000000000111111 +00000000000011111111000000000011 +11111100000000001111111000000000 +00111111010000000000111111110000 00000011111010000000011001110000 00000000000000000000000000000000 11000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111000000000111111111000 -00000011111100000000000001110000 +11111111100000000011111100100000 +00001111110001100000001111111100 +10010000011111011000000000110011 +01100000000011010101100000000011 +00010000000000001101110100000000 +00110011110000000010110011000000 +00000011001100000000000001110000 00000000000000000000000000000000 10000000000100001110111000000000 -10111011100000000010111011100000 -00001011101110000000001011101110 -00000000101110111000000000101110 -11100000000010111011100000000010 -11101110000000001011101110000000 -00101110111000000000101110111000 -00000010111000000000010000110000 +10111011100000000010111010001010 +00001011100000100000001011111100 +11100000101110000000010100110110 +00100000000010001001100000000010 +00100010000001001010100100000100 +10100010111000000000100010001000 +00001010001000000000010000110000 00000000000000000000000000000000 10001000000001011100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111000100000000101110000 +10110011000000000010110000000000 +00001011000001100000001011001100 +10000000100100010000000000100000 +01000000000010001001000000001010 +00001000000000001001000000000000 +00100010110000000000100000100000 +00000010001000100000000101110000 00000000000000000000000000000000 11000000000101011010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111100000000010001100000 +10111011000000000010111011000101 +00001011100010000000001011101100 +00000000101110111000100000100110 +11100000000010001001000101000000 +00101100000001001010100000000010 +00100010011000000000100010111000 +00000010001100000000010001100000 00000000000000000000000000000000 01000000000101011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110100000000010001110000 +11111011000000000011111001000000 +00001111100010000000001111101100 +00000000110110011000000000110010 +01110000001011000001100000010011 +00000011100000101101100110000000 +10100010111100100000110010000100 +10000011000100000000010001110000 00000000000000000000000000000000 11100000000000011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 -00000011111110000000000001100000 +11111111000000000011111110100000 +00001111101100000000001111101100 +00000100111111000000000000111111 +10000000000011111101000000000011 +11111110010000001111100110010000 +00111110110000000000111100000000 +00010011111110000000000001100000 00000000000000000000000000000000 01000000000100001010110000000000 -11111011000000000011111011000000 -00001111101100110000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110100000000010000100000 +11111011000000000011111001000000 +00001111100101000000001100101110 +00000000110010110000000100111110 +01010000000011111001000110000011 +00101000000000001100100100000000 +10110010110000000100110010110100 +00001011000100000000010000100000 00000000000000000000000000000000 11001000000001010010110000000000 10111011000000000010111011000000 -00001011101101000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111100100000000001000000 +00001011101110100000101000111100 +01010000100010110000000000101100 +10111000000010111011010000000011 +01101111000000001101100100000001 +00110100110000000100100010111101 +00000010001100100000000001000000 00000000000000000000000000000000 11100000000001010100110000000000 -10110011000000000010110011000000 -00001011001110000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111110000000000001010000 +10110011000000000010110000000000 +00001011000010000000001000001101 +00000000100010110000000000101100 +11110011000110110001000000000010 +10000011001000001000100110000000 +10100000110000000010100000101100 +00000010001110000000000001010000 00000000000000000000000000000000 00100000000000010001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 -00000010110010000000000001000000 +10110111100000000010110100100000 +00001011010111010010001000011110 +00000000100001111001000001101101 +11100000000110111101100000000010 +11111010001000001001010110011000 +00100101111000000000100001111000 +00000010000010000000000001000000 00000000000000000000000000000000 01001000000010000000110000000000 -11110011000000000011110011000000 -00001111001100000100001111001100 -00000000111100110000000000111100 -11000000000011110011000000000011 -11001100000000001111001100000000 -00111100110000000000111100110000 -00000011110100100000001000000000 +11110011000000000010110010000000 +00001011001100000000001000001100 +00000000110000110000000000111100 +11011100000011110001001000000011 +10001100000000001100001000010000 +10110000110000000100110000100000 +10001011000100100000001000000000 00000000000000000000000000000000 01000000000111011011110000000000 11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +00001111111100000010001111111100 +00000000111111110000010000101111 +11000101000011111101000000000011 +01111100000100001111111000010000 +00111111010000000010111111110000 00000011110100000000011001100000 00000000000000000000000000000000 10101000000001011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111010100000000001110000 +11111011000000000011111001000000 +00001111100000000000001000101100 +11000010010010111000000000110010 +11000000000011111011000000000001 +00100100000000001101110100000001 +00110010110000000000000010110000 +00001011001010100000000001110000 00000000000000000000000000000000 01001000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010110100100000010001100000 +10110111000000000010110100000000 +00001011111100000000001101001100 +10100000100001100000000100110101 +11000000000010110111000001000010 +10001100000000001000010100000000 +00100001100000000000100000110000 +00000010000100100000010001100000 00000000000000000000000000000000 11000000000000001001111000000000 10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 -00000010111100000000000000100000 +00001011010110000000001000011110 +00000000100101111000000000100101 +11100000000010111111100000000010 +00011110000000001001011110000000 +00100000111000000010100001111000 +00000010011100000000000000100000 00000000000000000000000000000000 01001000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110100100000010000110000 +10110011000000000010110011001000 +00001011101110000001001001101100 +00000000100100111000000000100100 +11110000000010110011100000000010 +10001100000010001000001101000000 +00100000001001001000100000110010 +00000010010100100000010000110000 00000000000000000000000000000000 11101000000101011010100000000000 -11111010000000000011111010000000 -00000111101000000000001111101000 -00000000111110100000000000111110 -10000000000011111010000000000011 -11101000000000001111101000000000 -00111110100000000000111110100000 -00000011111110100000010001100000 +11111010000000000011111110010000 +00001111111000100000000100101000 +00000000110111101010000000110111 +10001100000011110010100010001010 +00111001000000001101111000000000 +10110001101100000000110011100000 +00000011011110100000010001100000 00000000000000000000000000000000 01001000000000001110000000000000 11111000000000000011111000000000 -00001111100001000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 -00000011110100100000000000110000 +00001111100011000000001111100000 +00000000111010000000100000111110 +00000000000011111000000001000011 +11000000110010001111100000001000 +00111110000000110000111110000100 +00000011100100100000000000110000 00000000000000000000000000000000 00001000000100001110010000000000 -11111001000000000011111001000000 -00001111100100100000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011110000100000010000110000 +11111001000000000111111001000000 +00001111100101000000001100100110 +10000010110010010000000000111110 +01010000010011111001100000000011 +00100100000000001101000110000000 +10111010010000000000111000010000 +00000011000000100000010000110000 00000000000000000000000000000000 10000000000001000110010000000000 10111001000000000010111001000000 -00001011100110000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010111000000000000000010000 +01001011100100000000001010100100 +00001000100010010000000000101110 +01100000000010111001100001000011 +01100101000001001101100110001000 +00100010010000000000100010010000 +00000010001000000000000000010000 00000000000000000000000000000000 00011000000001010010010000000000 10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010110001100000000001000000 +00001011101100000000001000100100 +00001001100010010000000001101110 +01000000000010111001000100010010 +00100100101000001001100100100000 +00101010010000000000101010010001 +00000010000001100000000001000000 00000000000000000000000000000000 00001000000001000000010000000000 10110001000000000010110001000000 -00001011001100000000001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11000100000000001011000100000000 -00101100010000000000101100010000 -00000010110000100000000100000000 +00001011001100100000001010000110 +10100001100000010000000000101100 +11000000000010110001000000000010 +01000100100000101001000100100000 +00100010010010010000100000010010 +00000110000000100000000100000000 00000000000000000000000000000000 10111000000011010110000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 -00000011111011100000001101010000 +11111000000000000010111000000001 +00001011100001010000001100100001 +11000000110000000101000000111110 +00000000000011111010000000000011 +00100000000100001101000001010000 +00111010000000000100111010100000 +00001011001011100000001101010000 00000000000000000000000000000000 10011000000111011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 +11111001000000000011111101001010 +00001111110100010100001111100100 +00000000101111010000000100111111 +01000000000011110101000000100011 +11110100010000001111110100010000 +00111111010001000000111111010001 00000011111001100000011001110000 00000000000000000000000000000000 00011000000001011110010000000000 -11111001000000000011111001000000 -00011011110100000000011111100100 -00000001111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011110001100000000001110000 +11111001000000000011111001010000 +00001100110101000000001100110100 +00000000110010010000000000111111 +01000000000011111101000000000011 +11010100000000101100110100000000 +00110011010010000000110011010010 +00000011000001100000000001110000 00000000000000000000000000000000 00111000000100001110000000000000 10111000000000000010111000000000 -00001011100000000000001011100000 -00000000101110000000000000111110 -00000000000010111000000000000010 -11100000000000001011100000000000 -00101110000000000000101110000000 -00000010110011100000010000110000 +00001000100000000000001101100001 +10100000110110000010100000101110 +00000000010010111000000000000010 +11100000000100001000100000100100 +00101010000100001000100010000100 +00000010000011100000010000110000 00000000000000000000000000000000 00001000000001011100010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11000100000000001011000100000000 -00101100010000000000101100010000 -00000010110000100000000101110000 +10110001000000000010110001100000 +00001000000101000000001000000101 +00010000100000010000000000101100 +01000000000010110001000000100010 +11000101000000101000000101001010 +00100110010001000000100000010001 +00000010000000100000000101110000 00000000000000000000000000000000 00011000000101011010010000000000 -10111001000000000010111001000000 -00001011100100000001001011100100 -00000100101110010000000000101010 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010110001100000010001100000 +10111001000001000010110001001000 +00101000100100011000001001100100 +00000000100110010000000000101110 +01000100110010111001000000010010 +11100111000000001000101100100000 +00101110010000000000100010010100 +00000010000001100000010001100000 00000000000000000000000000000000 10100000000101011110010000000000 -11111001000000000011111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00101110010000000000111110010000 -00000010111010000000010001110000 +11111001000000000011111001100000 +00001100100100000001001100100100 +00000000110010010000100000111110 +01111000000011111001000100010011 +11100111000000101100100101001000 +10110110010000001000110010010000 +00001011001010000000010001110000 00000000000000000000000000000000 00101000000000011010010000000000 -11111001000000100011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 +11111001000000000011111001000000 +00001111100110000000000011100100 +00000000111110011100000000111110 +01000010000011111001000000000011 +11100100000000101111000100000000 +00111010011100000010111100011100 00000011110010100000000001100000 00000000000000000000000000000000 00101000000100001010000000000000 11111000000000000011111000000000 -00001111100000000100001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 -00000011110010100000010000100000 +00001100100001011000001100100000 +00100000111110000000000000111110 +00000100000011111000000010010011 +00000001000100101100100001000000 +00110010000000000000110010000000 +10001011000010100000010000100000 00000000000000000000000000000000 00101000000001010010100000000000 10111010000000000010111010000000 -00001011111010000000011011101000 -00000000101110100000000001101110 -10000000000010111010000000000010 -11101000000000001011101000000000 -00111010100000000000101110100000 -00000011100010100000000001000000 +00001000111001000000001000111010 +00000000101110100000000000101111 +10000000000010111110010000000010 +00111000001001101010111010000000 +00110100100000000000110111101000 +01000010000010100000000001000000 00000000000000000000000000000000 00101000000001010100110000000000 10110011000000000010110011000000 -00001011001100000001001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110010100000000001010000 +00001000101101000000101000101110 +01000000101100110000000000101100 +11000000000110110011010000100110 +00001111100000101000001110000000 +00100000110001000000100000100000 +00000010000010100000000001010000 00000000000000000000000000000000 10100000000000010001110000000000 -10110111000000000010110111000000 -00001011011001000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010000000000001000000 +10110111000000000010110111001000 +00101000011100000000001000010100 +00010000101101110010000000101101 +11000001000010110111100000000010 +00011000000000001010001100001000 +00100101110000000000100101100000 +10000010001010000000000001000000 00000000000000000000000000000000 10101000000010000001111000000000 -11110111100000000011110111100000 -00001111011110000000001011011110 -00000000111101111000000000101101 -11100000000011110111100000000011 -11011110000000001111011110000000 -00111101111000000000111101111000 -00000011111010100000001000000000 +11110111100000000011111111101100 +00011100110110000000001000011110 +00000000101101111100100000111101 +10100000010011110111100000001011 +00010010000000101100010110000000 +00110001111000000000110000101000 +00000011001010100000001000000000 00000000000000000000000000000000 -00001000000111011010110000010000 -11111011000000000011111011000000 -00001111101100000001001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111010110000000000111110110000 -00000011100000100000011001100000 +00001000000111011010110000000000 +11111011000000000011111011011100 +00011111101000000000001111101100 +00000000111110110010000000111110 +11000000000001110001000001000011 +11101000000000001101101100000001 +00111100110000000100111110000000 +00000011110000100000011001100000 00000000000000000000000000000000 00000000000001011111111000000000 -11011111100000000011111111100000 -00001111011110000000001100111110 -01000000111111111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111000000000111111111000 -00000011110000000000000001110000 +11111111100000000011111111100000 +00001110111110000000001101111110 +01000100111111111001001000111111 +11100000000011111111100000100011 +00110010000000001100110110000000 +10110011011001000000110001001000 +00000011000000000000000001110000 00000000000000000000000000000000 10101000000100011001110000000000 10110111000000000010110111000000 -00001011010000000000001101011100 -00001100101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010100000010001100000 +00001000010100010000001101010100 +01000000101101110000000100001101 +11000010000010110111001000001010 +10010001001000001111010100000000 +00100001010011000000100001000010 +00000010101010100000010001100000 00000000000000000000000000000000 00000000000000001001110000000000 -10110111000000000010110111000000 -00001011011100000000001000011100 -00010001101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010110000000000000000100000 +10110111000000000010111111000000 +00001010010100000000001000010000 +01000100101101110000010000001101 +10000100000010111111000000100110 +00010000010000001000000100000000 +00100001010100000010100001000000 +00000010000000000000000000100000 00000000000000000000000000000000 00100000000101001100110000000000 -10110011000000000010110011000000 -00001011000100000000001001001100 -00000101101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110010000000010000110000 +10110011000000000010110011110000 +00001000000001000000001001100000 +00000000101100111000100100101100 +11000000000010110001000000010010 +10000000000000001011000100000000 +00100000011001000000100000000010 +00000010100010000000010000110000 00000000000000000000000000000000 10101000000101011010110000000000 -11111011000000000011111011000000 -00001111101100000000001100101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111010100000010001100000 +10111011000000000011110111110010 +00001110101100000000001100101100 +00000000111111110010000000111110 +11010000000011110010100000000011 +00000010000010101100101100000000 +10110010010000000000110010100100 +00000011001010100000010001100000 00000000000000000000000000000000 10000000000000001110110000000000 -11111011000000000011111011000000 -00001111101000000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +11111011000000000011111011001000 +00001111100111000000001111100000 +00000000111110110000010000111110 +01000000000011111000100000000011 +11100001001000001110101101000000 +00111110010000000000111100100100 00000011111000000000000000110000 00000000000000000000000000000000 00000001000100001111110000000000 11111111000000000011111111000000 -00001111110100000000000111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 -00000011110000000100010000110000 +00001101000100001000001100110100 +00000000110011110000000000110011 +11000010000011001110000000010011 +00110000000000101100010000000000 +00110000010000000000110011100000 +00000011000000000100010000110000 00000000000000000000000000000000 10000001000001000110110000000000 10111011000000000010111011000000 -00001011100110000100001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111000000100000000010000 +00001010100010000010101010100011 +00000010100000110000010000101000 +01110000000011011000100000001010 +00100011000011101000101000000001 +00100010011001000000100010101010 +00000010001000000100000000010000 00000000000000000000000000000000 10000000000001010010110000000000 10111011000000000010111011000000 -00001011101110000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111000000000000001000000 +00001001101011000000001000101111 +00000000100010110000000000101010 +11100010010010001010110000000010 +00100010000000001000100100000000 +00100010010000000000100010001000 +01000010001000000000000001000000 00000000000000000000000000000000 00001000000001000000110000000000 -10110011000000000010110011000000 -00001011000000000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110000100000000100000000 +10110011000000000010111011000000 +00001000000000000000011010000000 +00000000100010110000000000101010 +01000000000010010000000000001010 +00000000000000001000000100000000 +10100000010000010010100000000000 +00000010000000100000000100000000 00000000000000000000000000000000 -00000000000011010110110000000000 -11111011000000000011111011000000 -00001111100100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110000000000001101010000 +00000000000011010110110000000101 +10111011000000010011111111000000 +00001101100000000000001100100000 +00010000110011110000000010111010 +00000000000011001010000000000011 +00100000000000101100100000000000 +10110010010000000000110010000000 +00000011000000000000001101010000 00000000000000000000000000000000 10100000000111011111110000000000 -11111111000000000011111111000000 -00001111110100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +11111111000000000011110111000000 +00001111110000000000001111110000 +00001000011111110000000000100111 +01000000000011110101000000000011 +11010000000000001111110000000000 +00111111010000000010111111010000 00000011111010000000011001110000 00000000000000000000000000000000 -11000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111000000000111111111000 -00000011111100000000000001110000 +11000000000001011111110011000000 +11000111100101000011011110000001 +10001101010110000000001111111100 +11000000111111110000000000110011 +01100000000011111111000000000011 +00111110000001001101110100000000 +00000011101000000000110001101000 +00000011001100000000000001110000 00000000000000000000000000000000 -10000000000100001110111000000000 -10111011100000000010111011100000 -00001011101110000000001011101110 -00000000101110111000000000101110 -11100000000010111011100000000010 -11101110000000001011101110000000 -00101110111000000000101110111000 -00000010111000000000010000110000 +10000000000100001111110101000000 +10001011001000001011011011100000 +00001000100110000010001111111101 +10000000101101110010000000100010 +01100000010010110111000000010010 +00001100000000001000110100000000 +00100010110000000000100010101000 +00000010001000000000010000110000 00000000000000000000000000000000 10001000000001011100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111000100000000101110000 -00000000000000000000000000000000 -11000000000101011010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111100000000010001100000 +10001011000001000110110001000000 +00001001001100000000001011001100 +01000000101100110001100000100000 +01000000000010110011010001000010 +00001100000000001001000100000000 +00100000110000000000100000100000 +00000010001000100000000101110000 +00000000000000000000000000000000 +11000000000101011010110000011000 +10001011100000001110111011000000 +00001000101100000000001011101100 +00000000101110110000000000100010 +01100000000010110011000000000010 +00001100000000001000000100000000 +00100000110100000000100010100000 +00000010001100000000010001100000 00000000000000000000000000000000 -01000000000101011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110100000000010001110000 +01000000000100011110110000010000 +11001001110000000011110010110010 +10001101100100000000001111101100 +00000000111110110000000000110010 +01000000000011111011000000000011 +00101100000000001101100110000000 +00110010110000000010110010100000 +00000011000100000000010001110000 00000000000000000000000000000000 -11100000000000011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +11100000000000011010110000000000 +11111111000000000011011110100100 +00101111111100000000001110111100 +00000000111111110000000100111111 +01000000000011111111000000000011 +11111100000000001111110110010000 +00111111110100000000111111100000 00000011111110000000000001100000 00000000000000000000000000000000 01000000000100001010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111010110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110100000000010000100000 -00000000000000000000000000000000 -11001000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111100100000000001000000 -00000000000000000000000000000000 -11100000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101000110000000000101100 -11000000000010110011000000000110 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111110000000000001010000 -00000000000000000000000000000000 -00100000000000010001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 -00000010110010000000000001000000 +11001010010000000011011001000000 +00001110100100000000001110101100 +00000000111000110000000000110010 +11000100000011111011000000000011 +00101100000000001110100110000000 +00110010110100000000110010100000 +00000011100100000000010000100000 +00000000000000000000000000000000 +11001000000001010011110000000000 +10000011000000000000001010100001 +00101000101110100000001101111100 +00000000101111110000000000100010 +11110101000010111111000000000010 +00101111100001001000100100000000 +00110010111000000000100000100000 +00000010001100100000000001000000 00000000000000000000000000000000 -01001000000010000000110000000000 -11110011000000000011110011000000 -00001111001100000000001111001100 -00000000111000110000000000111100 -11000000000011110011000000000011 -11001100000000001111001100000000 -00111100110000000000111100110000 -00000011110100100000001000000000 +11100000000001010110110000000001 +10000011000000000010010010000010 +00101010000110000000001010001100 +00000000101000110000000000100000 +11000001000010110011000000001110 +01001100001000001010000100100000 +00100100110000000000100000100000 +00000010101110000000000001010000 +00000000000000000000000000000000 +00100000000000010001111000000100 +10001111100100000010000010100100 +00001000110110000000001000011110 +00000000101101111000000000100001 +11100000000110110111110000000110 +01011110000011001000011110000010 +10100001111100100000100001101000 +00000010000010000000000001000000 00000000000000000000000000000000 -01000000000111011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +01001000000010000000110001000000 +11000011010000000011010011000110 +00101110001100000000001010001100 +00000000111000110000000000110000 +01000100000011110011000000000011 +01001100100000001110100100000000 +00110110110000000000110000100000 +00000011100100100000001000000000 +00000000000000000000000000000000 +01000000000111011011110100000000 +11111111000001000011101110000100 +00101111111100000000001111111100 +01000000111111110000000100111111 +01010000000011111111000000000011 +10111100000000001111111101010000 +00111111110000000000111111100000 00000011110100000000011001100000 00000000000000000000000000000000 -10101000000001011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +10101000000001011110110110000000 +11110011000000000011001010011010 +00001100100101110100001111101100 +10100000110010110100000000111110 +11001000000011111011001010000011 +01101110000000001000101100000000 +00110010110000010010110010100000 00000011111010100000000001110000 00000000000000000000000000000000 -01001000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 +01001000000100011001110100000000 +10110111000000001010000110000000 +00101000011100001000001011001101 +00000100100001110101000000101101 +11010000000010110111001000001010 +00011100000000001000001100000001 +00100001110000000000100001100000 00000010110100100000010001100000 00000000000000000000000000000000 -11000000000000001001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 +11000000000000001001111010000000 +10111111100000001010100111100000 +00001000010110101000001011011110 +10000000100001111000000000101101 +11100000010010110111101100000110 +01011110000000001000011110000001 +00100001111000000000100001101000 00000010111100000000000000100000 00000000000000000000000000000000 -01001000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 +01001000000101001100110000000100 +10110011010000000010100010000000 +00001000001100000000001011001100 +00000000100000110000000000101100 11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 +00001100000000001000101100000000 +00100010010000000000100000100000 00000010110100100000010000110000 00000000000000000000000000000000 11101000000101011010100000000000 -11111010000000000011111010000000 -00001111101000000000001111101000 -00000000111110100000000000111110 +11110110000000000011101010010100 +00001100101000000001001111101000 +00001010110010100000000000111110 10000000000011111010000000000011 -11101000000000001111101000000000 -00111110100000000000111110100000 +01101000000000101100101010100000 +10110011100100000000110011100000 00000011111110100000010001100000 00000000000000000000000000000000 01001000000000001110000000000000 -11111000000000000011111000000000 +11111000000011000010010000010000 00001111100000000000001111100000 00000000111110000000000000111110 -00000000000011111000000000010011 -11100000000000001111100000000000 -00111110000000000000111110000000 +00010000000011111000010000000011 +11100001000000001111100000000000 +00111110000000100000111110000000 00000011110100100000000000110000 00000000000000000000000000000000 00001000000100001110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 +11111001000000000011001011101000 +00001100100100000000001111100100 +00000000110010010000000000111110 +01000000001011001001110000001011 +00100110000000001111100100100000 +00110010011000000000110010010000 00000011110000100000010000110000 00000000000000000000000000000000 10000000000001000110010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000000 -11100100000000001011100100000000 -00101110010000000000101110010000 +10111001000000010010101001010010 +00101010101111011000001011100100 +00000000100010010000000000101100 +11000000000010001001000000000010 +00100100000000001011100100000000 +00100010011011000000100010010000 00000010111000000000000000010000 00000000000000000000000000000000 00011000000001010010010000000000 -10111001000000000010111001000000 -00001011100100000000001001100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 +10111001000000000010001001000000 +00001000100100000000001011000100 +00000000100010010000000000101110 +01000000000010000001010000000010 +00100100100000001011100100000000 +10100010010000000000100010011000 00000010110001100000000001000000 00000000000000000000000000000000 -00001000000001000000010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11000100000000001011000100000000 -00101100010000000000101100010000 +00001000000001000000010010100000 +10111001000000000010100001001010 +00101010000100000000001011000100 +10100010100000010010100000101110 +01000000000010000001001010000010 +00001100000000001011000110100000 +00100000010000000010100000011000 00000010110000100000000100000000 00000000000000000000000000000000 -10111000000011010110000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 +10111000000011010110000010000000 +11111000000000000011001000001000 +00101100100000000000001111100000 +10000000110010000010000000111110 +10000000000011000000011100000011 +00000001010001001111100001010100 +00110000000101000000110010000000 00000011111011100000001101010000 00000000000000000000000000000000 -10011000000111011110010000000000 -11111001000000000011111001000000 +10011000000111011110010010100000 +11111101001010010011110001000000 00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 +10100000111110010010100000111110 +01000000000011111001000000010011 +11100100000000001111110100010000 +00111111010000000000111110010000 00000011111001100000011001110000 00000000000000000000000000000000 -00011000000001011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 +00011000000001011110010010000010 +11000011000100000011000101100000 +00001101100100000000001111100100 +11000000111110010100000000111110 +11000000000011111001011010000011 +00110100010000101100110100100000 +00110011110000000000010111010000 00000011110001100000000001110000 00000000000000000000000000000000 -00111000000100001110000000000000 -10111000000000000010111000000000 -00001011100000000000001011100000 -00000000101110000000000000101110 -00000000000010111000000000000010 -11100000000000001011100000000000 -00101110000000000000101110000000 +00111000000100001110000100000000 +10001010000000000010001000010000 +00001000100000000000001011100001 +00000000101110000100000000101110 +00000000010010110000010000000010 +00100000100000001000100000101000 +10100010100010100000100010000000 00000010110011100000010000110000 00000000000000000000000000000000 -00001000000001011100010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11000100000000001011000100000000 -00101100010000000000101100010000 +00001000000001011100010100100000 +10000001001000000010000001010000 +00001001000100000000001011000100 +11000000101100010110000000101100 +01000000010010110001001000000110 +00000100000000011000000101000000 +01100010010000000000100100010000 00000010110000100000000101110000 00000000000000000000000000000000 -00011000000101011010010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 +00011000000101011000010000001000 +10000001000000000010001011000100 +00001000100100000010001011100100 00000000101110010000000000101110 01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 +00100100000000011000100100000000 +00100010010010000000100010010000 00000010110001100000010001100000 00000000000000000000000000000000 10100000000101011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 +11001001110001000011001001101000 +00001101100110000000001111100100 00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000011111100100000000 -00111110010000000000111110010000 +01100100000011111001000000001011 +00100100000000001100100100000001 +00110010010100001000110110010000 00000011111010000000010001110000 00000000000000000000000000000000 00101000000000011010010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 +11111001010000001011111001000010 +00101111100101000000001111100100 00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 +01000000000011110011000000000011 +11100100000000001111000100000000 00111110010000000000111110010000 -00000011110010100000000001100000 +10000011110010100000000001100000 00000000000000000000000000000000 00101000000100001010000000000000 -11111000000000000011111000000000 -00001110100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 +11001000000000010111001000010000 +00001100100010000000001111100000 +00010000111110000000000000111110 +00000000000011111000000010000011 +10100000000001001100100000001000 +10110010000110000010110010000000 00000011110010100000010000100000 00000000000000000000000000000000 00101000000001010010100000000000 -10111010000000000010111010000000 -00001011101000000000001011101000 -00000000101110100000000000101110 -10000000000010111010000000000010 -11101000000000001011101000000000 -00101110100000000000101110100000 +10001010000000000010000110110000 +00001000101000000000001011101000 +00000000101110100000000100101110 +10100000000010111010010000000011 +00111000000001001000111000001000 +00100001101010000000100011101100 00000010110010100000000001000000 00000000000000000000000000000000 -00101000000001010100110000000000 -10110011000000000010110011000000 -00001010001100000000001011001100 +00101000000001010100110000000010 +10000011000000000010000011100000 +01101000001100000010001011001100 00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 +11000100000010110011010000000010 +11101100000000101001001100000000 +00100100111100000000100000110000 00000010110010100000000001010000 00000000000000000000000000000000 -10100000000000010001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010000000000001000000 -00000000000000000000000000000000 -10101000000010000001111000000000 -11110111100000000011110111100000 -00001110011110000000001111011110 -00000000111101111000000000111101 -11100000000011110111100000000011 -11011110000000001111011110000000 -00111101111000000000111101111000 +10100000000000010001110010000000 +10001111001100001010000111000010 +00001000010100000000001011011100 +10000001101101110010000000101101 +10000000000010110110000000000010 +00011100000000001001001100000000 +00100101110000000000100001110000 +10000010111010000000000001000000 +00000000000000000000000000000000 +10101000000010000000111010010000 +11000111101000001010000111100000 +00001100011110001000001111011111 +00010000111101111010000000111101 +11100000000011110111100100000011 +11111110000000101101011110000000 +00110111111000000000110001011000 00000011111010100000001000000000 00000000000000000000000000000000 -00001000000111011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +00001000000111011010110001000000 +11111011010010000011111011000000 +00001111101101000000001111101101 +10110000111110110010100000111110 +11010010000011111010001000000011 +11100000000001001110100000000000 +00111010000000000000111110010000 00000011110000100000011001100000 00000000000000000000000000000000 -00000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111000000000111111111000 -00000011110000000000000001110000 -00000000000000000000000000000000 -10101000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010100000010001100000 +00000000000001011111111100000000 +11111111100000000011000110100000 +00001101111110000000001111111110 +01000000110011111000100000110011 +11100000000011111110100000000011 +00111110000000001100111110000000 +00110001111000000000110101111000 +00000011000000000000000001110000 +00000000000000000000000000000000 +10101000000100011001110001001100 +10111111000000001010000111000110 +00001000010100000000001011011100 +01000000100001110000000000100001 +00000100000010110110000000001010 +00111100100000001000010100100000 +10100001100000000010100001110000 +00000010001010100000010001100000 00000000000000000000000000000000 00000000000000001001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 +10110111000110000010000111000000 +00001001011000000000001011011100 +00001000100101110000000000100001 11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010110000000000000000100000 +00011100000001101000011000001000 +01100011010000000000100111100000 +00000010000000000000000000100000 00000000000000000000000000000000 00100000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110010000000010000110000 -00000000000000000000000000000000 -10101000000101011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111010100000010001100000 +10110011010001010010000011110010 +00001000001010000000001011101100 +00000000100110110000000000100000 +01000000000010110010000000010010 +00100000000000001000000010000000 +01100000000100000000100000100000 +00000010000010000000010000110000 +00000000000000000000000000000000 +10101000000101011011110000000000 +11110111000000100011000011000000 +00001101101010000000001111111100 +00010000110111110000000000110010 +01000000000011111001000000000011 +00101100000000001100101110000000 +00110010111111000000110110110000 +00000011001010100000010001100000 00000000000000000000000000000000 -10000000000000001110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111000000000000000110000 +10000000000000001110110000010000 +11111011001000000011111011000000 +00001111100000010000001111101100 +00000000111010110000000000111110 +10000000100011110001000000000111 +11100001000000001111101100000100 +10111110110000000000111110110000 +00011011111000000000000000110000 00000000000000000000000000000000 00000001000100001111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +11111111000000000011011111100000 +10001100110110000000001111101100 +00000000110010110000000000110011 +00000000000011111111101000000011 +00111110000000001110011100000000 +00110011110000000000110011010000 00000011110000000100010000110000 00000000000000000000000000000000 10000001000001000110110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111000000100000000010000 +10111011000000101010001011110100 +00101000100101000000001011101100 +00000000100010110000000000100010 +10111000000010111011100000000010 +00100000010000001000100000000000 +00100000000000000000100010010000 +00010010111000000100000000010000 00000000000000000000000000000000 10000000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 +10111011000000001010001011000000 +00001000101001010000001011101100 +00000000100010110000000000100010 +01001000000010111000000000000010 +00101101000000001010101100000000 +00100010110000000000100010110000 00000010111000000000000001000000 00000000000000000000000000000000 00001000000001000000110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 +10111011000000000010000011000000 +00001000000000000000001011001100 +00000001100000110000000000100000 +00000000000010110000100000001010 +00000000000000001000000100000000 +00100010100000000010100000110000 00000010110000100000000100000000 00000000000000000000000000000000 -00000000000011010110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +00000000000011010111110000000000 +11111111000000000011001011000000 +00101100100000000000001111111100 +00000000110011110000000000110010 +00000000100011110011000000000011 +00101100000001001110001000000000 +10110010010000000000110010100000 00000011110000000000001101010000 00000000000000000000000000000000 10100000000111011111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 +11111111000000000011111101000000 +00001111110000000001001111111100 00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +00000000000011111111000000100011 +11110000000000001111110000000000 +00111111000000000000111111100000 00000011111010000000011001110000 00000000000000000000000000000000 -11000000000001011111111000000000 +11000000000101011111111000000000 11111111100000000011111111100000 -00001111111110000000001111110110 -00000000111111111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111000000000111111011000 -00000011011100000000000001110000 +00001100111101100000001100111110 +00000000011111110010000000011101 +10100000000011001100000000000011 +00111010000000001111011000000000 +00110011000000000000110001001000 +00000011111100000000000001110000 00000000000000000000000000000000 10000000000100001110111000000000 -10111011100000100110111011100000 -00001011101110000010001011100110 -00000000101110111000000000101110 -11100000000010111011100000000010 -11101110000000001011101110000000 -00101110111000000000101110111000 -00000010001000000000010000110000 +10111011100000000011101011100000 +00001000111100100001001100101110 +00000000101111110101000000101110 +10100000000010001010010001000010 +10100010000000001011100110000000 +00100010000000000000100010101000 +00000010111000000000011000110000 00000000000000000000000000000000 -10001000000001011100110000000000 -10110011000000000010110011000000 -00001011001100000000001011000000 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101110100000 -00000010011000100000000101110000 +10001000000001011000110000000000 +10110011000000000010101011000000 +00001000001100010000001000001100 +00000000101100110000001000101110 +11000000001010000010010000000010 +00001110000000001011001010000000 +00100000100000000010100000000000 +00000010111000100000000101110000 00000000000000000000000000000000 -11000000000101011010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101010 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110111000 -00000010001100000000010001100000 +11000000000101011110110000000000 +10111011000000000010101011000000 +01001001101100000000001000101100 +00000000101110110000000100101110 +00110000000010001010000000000010 +10100110000000001011100100000000 +10100010100000000000100010100000 +00000010111100000000000001100000 00000000000000000000000000000000 -01000000000101011110110000000000 -11111011000000000010111011000000 -01001111101100000000001111100110 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111100111100 -10000011010100000000010001110000 +00000000000101011110110000000000 +11111011000000000011100011000000 +00000100101100000000101100101100 +00010001111110110000000000111110 +11110000000011001010100100000011 +00101010000000001111101010000000 +00110010110100000000110010000000 +00000011110000000000010001110000 00000000000000000000000000000000 11100000000000011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111110100 -00100000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +11111111000000000011101111000000 +00001110111100000000001110111100 +00001001111111110000000000111111 +11000000000011111111100000000011 +11110000000000001111110101000000 +00111111100000000000111111111010 00000011111110000000000001100000 00000000000000000000000000000000 -01000000000100001010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110111000 +01000000000100000010110000000000 +11111011000000000011111011000100 +00001111001100001000001100101100 +10001000111110110000010000111110 +11000001000011000010000000000011 +11101100001000001100011000000000 +00110000110100000000111010000001 00000011000100000000010000100000 00000000000000000000000000000000 11001000000001010010110000000000 -10111011000000000010111011000000 -00001011101110000000001011101111 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000100101110110000 +10111011000000000010111011110100 +00001011111100000000001000101111 +00000000101111110000000000101100 +01010000000011111011000000000010 +11100100000000001000100110000000 +00110110101000100000100010110000 00000010001100100000000001000000 00000000000000000000000000000000 -11100000000001010100110000000000 -10110011000000000010110011000000 -00001011001100010000001011000111 +11000000000001000000110000000000 +10110011000000000010110011100000 +00001011001101010000001000001110 00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010001110000000000001010000 +10101000000010000010100101000010 +11000001000000001000001000010000 +00100000001000000000101000000000 +10001010001100000000000000010000 00000000000000000000000000000000 -00100000000000010001111000000000 +00100000000100000001111000000000 10110111100000000010110111100000 -00001011011110000100001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101111111000 -00000010000010000000000001000000 +00001011011110000000001000011110 +00000000101101111001000000101111 +10100000000110110110100000000010 +11110010001100001000111110000000 +00100101001000000000100001001000 +00000010000110000000010000010000 00000000000000000000000000000000 01001000000010000000110000000000 -11110011000000000011110011000000 -00001111001100000000001111000000 -00100000111100110000000000111100 -11000000000011110011000000000011 -11001100000000001111001100000000 -00111100110000000000111100110000 -00000011000100100000001000000000 -00000000000000000000000000000000 -01000000000111011011110000000000 -11111111000000000011111111000000 -00001111111100000001001111111000 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111101110000 -00000011110100000000011001100000 +10110011000000000011110011001000 +00001111101100000000001000001100 +10100000111100110000000000111100 +11000010000011000010000000010011 +11000100000000101100001000000000 +00110010100000000100111000000000 +01000011000100100000001000010000 +00000000000000000000000000000000 +01000000000101001011110000000000 +11111111000000000011111111000010 +00001111111100010000001111111100 +00000000101111110000000000111111 +11000000000011111110000000000011 +11010000000001001111111100000000 +00111111100000000000111111000000 +00000011110100000000010001100000 00000000000000000000000000000000 -10101000000001011110110000000000 +00001000000001011110110000000000 11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111100110000 -00000011001010100000000001110000 +00001111101100100000001111101110 +00000000110010110010100000110000 +11100000000000101010001010100011 +11000000000000001110101000000001 +00111110110000000000110010000000 +00000011110000100000000001110000 00000000000000000000000000000000 01001000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000100001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010000100100000010001100000 +10110111000000000010110111001000 +00001011011100110000001011011101 +10000000100011110100000000100001 +11000000000010000111000000000010 +11010100000000001000011000000000 +00101101110000000000101001010000 +00000000110100100000011001100000 00000000000000000000000000000000 -11000000000000001001111000000000 +00100000000000001001111000000000 10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101111111000 -00001010001100000000000000100000 +00001010011110110000001011111110 +10000000100001111000000000100001 +11100000000010100110100010010010 +11110110000000001010011010000000 +00101111111000000000100001001000 +00000000110010000000000000100000 00000000000000000000000000000000 -01001000000101001100110000000000 +01101000000101001100110000000000 10110011000000000010110011000000 -00001011001100000000001011001101 -01100000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100111000 -00000010000100100000010000110000 -00000000000000000000000000000000 -11101000000101011010100000000000 +00001011001100000000001011001100 +00000000100000110000000100100000 +11010000000010000011101000000010 +11000100000000001000001100100000 +00101100111100100000101000010010 +00000010110110100000000000110000 +00000000000000000000000000000000 +11101000000001001010100000000000 11111010000000000011111010000000 -00001111101000000000001111111011 -00000000111110100000000000111110 -10000000000011111010000000000011 -11101000000000001111101000000000 -00111110100000000000111101100000 -10000011001110100000010001100000 +00001110101000000000001111101000 +00000010110010100000000000110011 +10000100000011101010010000000011 +11011001000000001110111000000000 +00111111100100000000110011100000 +00000011111110100000010001110000 00000000000000000000000000000000 -01001000000000001110000000000000 -11111000000000000011111000000000 -00001111100001000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110001000 -00000011110100100000000000110000 +01001000000100001010000000000000 +11111000000000000011111000010000 +00001111100000000000001111100001 +00000000111100000000000010111110 +00000000100011110000000000100011 +11100000001000001111100000000000 +00111100000001000000111110000000 +00000011110100100000000001100000 00000000000000000000000000000000 -00001000000100001110010000000000 +00001000000100001010010000000000 11111001000000000011111001000000 -00001111100110000000001111100100 -10000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00001011000000100000010000110000 +01001111000111000000001100100110 +10000000111110010000000000110010 +01000100000011111011100000000011 +00100110000000001100100100000000 +00111110011100000000110010010000 +00000000110000100000010000100000 00000000000000000000000000000000 -10000000000001000110010000000000 -10111001000000000010111001000000 -00001011100111000000001011100100 -00000000101110010000000000101110 +10000000000001000010010000000000 +10111001000000000010111011001000 +00001011100111000000001000101100 +10000000101110010000000000110110 01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010001000000000000000010000 +10100100100000001000100100000010 +00101110010000000000100010010000 +00000010111000000000000000010000 00000000000000000000000000000000 00011000000001010010010000000000 10111001000000000010111001000000 -00001011100100100000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110011000 -00000010000001100000000001000000 +00001011100100001000101000100100 +00000000101110010000000000100010 +01000000000010111001000100010010 +00100100010000001000100110000000 +00101110110000000000100010011000 +00000010110011100000000001000000 00000000000000000000000000000000 -00001000000001000000010000000000 +00001000000101000000010000000000 10110001000000000010110001000000 -00001011000100000010001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11000100000000001011000100000000 -00101100010000000000101110010000 -00000010000000100000000100000000 +00011011000100100000001000000100 +00000000101100010010000000100100 +01000000000010110001001000000010 +10100100000000101000000100100000 +00101100010010000010100000011000 +00000010110010100000010100000000 00000000000000000000000000000000 10111000000011010110000000000000 11111000000000000011111000000000 -00001111101000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 -00000011001011100000001101010000 +00001111100001010000001100100000 +00000100111110000101010000110010 +10000000010011111000010100100011 +00100000000000001100100000000000 +00111110000101000000110010000000 +00000011111011100000001101010000 00000000000000000000000000000000 -10011000000111011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111110100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111111110000 -00000011111001100000011001110000 +10111000000100011110010000000000 +11111001000000010011111001000000 +00001111100100010001001111000100 +00000000111110010001000000111111 +01000000000011111001000100000010 +11111100000000001111110100010000 +00111101010001000000111111010000 +00000011111001100000010001110000 00000000000000000000000000000000 -00011000000001011110010000000000 +00111000000001011110010000000000 11111001000000000011111001000000 -00001111110100000000001111110100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111111010000 -00000011000001100000000001110000 +00001111110100101000001100100100 +00000000111110010010000000110011 +01000000000011001101001000000011 +00110100000000001100110100101000 +00111111010000000000110010010000 +00000010001001100000000001110000 00000000000000000000000000000000 -00111000000100001110000000000000 +00011000000100001110000000000000 10111000000000000010111000000000 -00001011100000000000001011100000 -00000000101110000000000000101110 -00000000000010111000000000000010 -11100000000000001011100000000000 -00101110000000000000101110000000 -00000010000011100000010000110000 +00001011100001101000001101100000 +00000000001100000110101000100010 +00000000000010001000001000000010 +00100000000000001101100001000000 +00101110000010000000101010000000 +00001010000011100000011000110000 00000000000000000000000000000000 -00001000000001011100010000000000 +01001000000000001000010000000000 10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11000100000000001011000100000000 -00101100010000000000101100010000 -00000010000000100000000101110000 +00001011000100000000001000000100 +00000000101100010001000000100010 +01000000000010000001010010000010 +00100100000100001000000100000000 +00101100010100100000100010010000 +00000010000100100000000000110000 00000000000000000000000000000000 -00011000000101011010010000001100 -10111001000000000010111001000000 -00001011100100000000001011100100 -10000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010000001100000010001100000 -00000000000000000000000000000000 -10100000000101011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100111 -10000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110011001 +00011000000101001010010000000000 +10111001000001000010111001000001 +00001011100100000000001001100100 +00000000101110010000000000100010 +01100000000010001001000000001010 +00100100000000001001100100000100 +00101110010010000000101010010000 +00000010000001100000000101100000 +00000000000000000000000000000000 +10100000010001001010010000000100 +11111001000000010011111001000000 +00001111100100000000001100100100 +00000000111110010000000010110000 +01100010001011001001100100000011 +00000101000000001100100100100000 +00111110010000000000110000010000 00000011001010000000010001110000 00000000000000000000000000000000 -00101000000000011010010000000000 +01101000000100001010010000000000 11111001000000000011111001000000 00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 +00000000111100010000000000111110 +01000000000011111001100000000011 11100100000000001111100100000000 -00111110010000000000111110011000 -00001011110010100000000001100000 +00111110010000000000111110110000 +00000011110100100000000001100000 00000000000000000000000000000000 00101000000100001010000000000000 11111000000000000011111000000000 -00001111100000100000001111100001 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000100 -00000011110010100000010000100000 +00001101100000001000001111100000 +00000000110110000000000000110010 +00000000000011001000000000000111 +10100001000000001100100001000000 +00110010000000100000110010000001 +00000011000000100000010000100000 00000000000000000000000000000000 -00101000000001010010100000000000 -10111010000000000110111010000000 -00001011111010100000001011111000 -00000000101110100000000000101110 -10000000000010111010000000000011 -10101000000000001011101000000000 -00101110100000000100101100100000 -00000010110010100000000001000000 +00001000000001000010100000000000 +10111010000000000010111010100000 +00001011111001001000001011101000 +00000000101110100000000010100011 +10000000001010001010100000000010 +00111000000000001000101000000000 +00100011101000000000110110100000 +00000010000010100000000001000000 00000000000000000000000000000000 -00101000000001010100110000000000 +00001000000001010100110000000000 10110011000000000010110011000000 -00001011001100000000001011001110 -01000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110001 -00000010110010100000000001010000 -00000000000000000000000000000000 -10100000000000010001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011000 -00000000101101110000000000101101 -11000000000010110111000000000010 -10011100000000001011011100000000 -00101101110000000000101101010000 -00000010111010000000000001000000 +00001001101101000000001011001100 +00000000101100110000000000100000 +11100000000010001011100100000010 +10001100000000001000001100010000 +00100010111000000000100000111000 +00000010000010100000000001010000 00000000000000000000000000000000 -10101000000010000001111000000000 -11110111100000000010110111100000 -00001111011110000000001111011110 -00000000111101111000000000111101 -11100000000011110111100000000011 -11011110000000001111011110000000 -00111101111000000000111101011000 -00000011111010100000001000000000 +00100000000000010001110000000000 +10110111000000000010110101010000 +00001011011100000000001011010100 +00000000101101110010000000100001 +10010001000010000111000000000110 +00111000000000001000011110000000 +00100001110000100000100111000000 +00000010001000000000010001000000 +00000000000000000000000000000000 +00101000000010001001111000000000 +11110111100000100011110110100011 +00001101011110000000001111011110 +01000000101101111100000100110001 +11100000000011001101100000010010 +10011110000000101100001110000000 +10110011111000010000110001001000 +10000011001000100000001000000000 00000000000000000000000000000000 00001000000111011010110000000000 -11111011000000000011111011000000 -00001111100100000000001111100000 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000100111110010000 -00000011110000100000011001100000 +11111011000000000011111001001000 +00001111100000000000001111101001 +10000000111110110000100000111100 +01000000000011111001000000000001 +11000100000001001111100100000001 +00111110110000000000111100000000 +00001011110000100000010001100000 00000000000000000000000000000000 -00000000000001011111111000000000 +01100000000001001011111000000000 11111111100000000011111111100000 -00001111011010000000001100011110 -00000000110011111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111000000000111111011001 -00000011110000000000000001110000 +00001111110110000000001100111110 +00000000111111111000000000111101 +01100000000011001101100000000011 +11011110000000001100011110000000 +00010011001001000000110011011000 +00000011110100000000000000100000 00000000000000000000000000000000 -10101000000100011001110000010000 -10110111000000000010110111000000 -00001011011000000100001101011001 -00000000110101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101010001 -10000010111010100000010001100000 +10101000000100001001110000000000 +10110111000000000011100101000100 +00001011111100000000001101010100 +00000000101101110000000000111101 +00000100000010000101000010000010 +11011000001000001000010100100000 +00100011000011010000100001000010 +00000010111010100000011000100000 00000000000000000000000000000000 00000000000000001001110000000000 -10110111000000000010110111000000 -00001011011100000000001000011100 -00100000100001110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101010000 -00000010110000000000000000100000 -00000000000000000000000000000000 -00100000000101001100110000000000 -10110011000000000010110011000000 -00001011000100000000001001000010 -00000000100100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100011100 -00000010110010000000010000110000 +10110111000000000010110110000000 +00001011010100000000001000011100 +00000000101101110000000000101111 +11000010000010000101000000000010 +11111101000000001001011100000000 +00100001100000000000100001000000 +00000110110001000000000000100000 +00000000000000000000000000000000 +01000000000101000000110000000001 +10110011000000000010100001000000 +00001011000000000000001001001000 +00000000101100110000010000101100 +01000000100010000001110000000010 +11000110000000001001000110000000 +00100010100000000000100000000100 +00000010110110000000000000100000 00000000000000000000000000000000 -10101000000101011010110000000000 -11111011000000000011111011000000 -00001111101100000000001100101100 -00000000110010110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110011100 -00000011111010100000010001100000 +10101000000001010010110000000000 +11111011000000000001111011000000 +00001111101100000000001000101000 +00000001001111110000000001111100 +11000100000011001011100100010011 +11001101000000101101101100000000 +10110010100100000010110010111011 +00000010111010100000010001100000 00000000000000000000000000000000 -10000000000000001110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101101 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110010000 -00000011111000000000000000110000 +10100000000100001110110000000000 +11111011000000000011111010000000 +00001111100101000000001111100000 +00000000111110110000000000111010 +00000000000011110011000000000011 +11101000000100001110101100010000 +00111110000110000000111110110000 +00000011111001000000000000110000 00000000000000000000000000000000 00000001000100001111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000110011110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111011010 -10000011110000000100010000110000 +11111111000000000010111110000000 +00000111111100000000001111110100 +00000000111011110000001000111111 +11000000000011001101100000000011 +00111100000000001100111110001010 +00111111100000000000110011000000 +00000011111010000000010000110000 00000000000000000000000000000000 10000001000001000110110000000000 -10111011000000000010111011000000 -00001011100110000000001011000110 -00000000101010110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110010000 -00000010111000000100000000010000 +10111011000000100010111010110000 +00001011100011001100001011100001 +10010000101110110000000000101110 +01100000001010001001100000000010 +10100100000000001000101110000000 +00101110001010000000100010000000 +00000011101010010100000000010000 00000000000000000000000000000000 10000000000001010010110000000000 -10111011000000000010111011000000 -00001011100010000000001011100110 -00000000100010110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110010000 +10111011000000000010111001000110 +00001011100010000000001011101010 +00000000101110110000000000101110 +11100000001010001001001000000110 +00101100000100011000101100100001 +00101110001000000000100010010110 00000010111000000000000001000000 00000000000000000000000000000000 00001000000001000000110000000000 -10110011000000000010110011000000 -00001011000000000000001011100100 -00000000101000110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000010000101100010000 -00000010110000100000000100000000 +10110011000000000010110000000000 +00011011000000000000001011000000 +00000000101100110000000000101100 +00000000000010000011000000000010 +10001000000000001000000100000100 +00101100000000000010100000010000 +00000010110000100000010100000000 00000000000000000000000000000000 00000000000011010110110000000000 -11111011000000000011111011000000 -00001111100100000000001111100100 -00000000110010110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110010000 -00000011110000000000001101010000 +11111011000000000011111000000000 +01001111100000000000011111100100 +00000100111111110000010000101110 +11000000000011001001000000000011 +00101100000000101100101100000000 +00111110100000000000110010000000 +00000011111000000000001101010000 00000000000000000000000000000000 10100000000111011111110000000000 -11111111000000000011111111000000 -00001111110100000000001111110100 +11111111000000000011111100000000 +00001111110000000000001111110000 00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111010000 -00000011111010000000011001110000 -00000000000000000000000000000000 -11000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -00000000000011111101100000000011 -11111110000000001111111110000000 -00111111111000000000111111111000 +01000000000011111101000000100011 +11110100000000001111110100000000 +00111111000000000000111111000000 +00000011101010000000010001110000 +00000000000000000000000000000000 +11000000000001011011011000000001 +11101110000000000011001110100000 +00001111111100000000001110111100 +10010000111111011000000000111111 +11001010000011001100000000000011 +00110000000000001100110110000000 +00111111100000000000110011010000 00000011111100000000000001110000 00000000000000000000000000000000 -10000000000000001110111000000000 -10111011100000000010111011100000 -00001011101110000000001011101110 -00000000101110111000000000101110 -11100000000010111001100000000010 -11101110000000001011101110000000 -00101110111000000000101110111000 -00000010111000000000011000110000 +11000000000000001110011000000000 +10001000000011000010001010100000 +01001011111101000000001000111100 +11101000101110011000000000101111 +11010010001010001010100000000010 +00101010000100001000100010000110 +00101110111000001000100010011000 +00000010111100000000010000110000 00000000000000000000000000000000 -10001000000001011100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -00000000000010110000000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111000100000000101100000 +11001000000001001110010000000000 +10100001001000000010000010000000 +00001011001101000000001010001100 +00000000101100110000000100101100 +11001000010010000000000000000010 +00000100000000001000000100000000 +00101100100000000010100000010000 +00000010111100100000000101110000 00000000000000000000000000000000 -11000000000101011010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -00000100000010111010100000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111100000000000101110000 +11000000000101011010110001000000 +10001001000000100010001010000010 +01001011101100000000001000101100 +00000000101110010000000001101100 +11000000010000001010000000000010 +00101100000000001000101111000000 +00101110110000001000100010111000 +00000010111100000000010001100000 00000000000000000000000000000000 -00000000000001011110110000000000 -10111011000000000011111011000000 -00001111101100000000001111101100 +10000000000001011100010000000000 +10101001000000001011001001110000 +10001111101100000000001110101100 +00000000111110010000001001111110 +11000000000011001000010000001011 +00100000000000001100100110000000 +00111100000100101100110010010000 +00000111110000000000010001110000 +00000000000000000000000000000000 +11100000000100011011010000000000 +11111111101000000001111101000100 +00001111111100000000001111111100 +00000000111111110000000101111111 +11000000000011111111001000010011 +11011100000000001111111100000000 +00111110010100000000111111010000 +00010000111110000000000001100000 +00000000000000000000000000000000 +01010100000100001010010000001000 +11111011000001000011001001000100 +00001111101100000001001111101100 00000000111110110000000000111110 -00100000000011111001101000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110000000000010001110000 +11000000000011110101010001000011 +00101100000000001100100101000000 +00111110000000000000110010111000 +00010011110101000000010000100000 +00000000000000000000000000000000 +11001000010001010010110100000000 +10111011000000000010001001110000 +00001011111100000000001011111100 +00000000101110110000000000101111 +11000000000010111011000000000010 +00101100000100001000101100000001 +00101110010000000000100010111000 +00000010111100100000000001000000 00000000000000000000000000000000 -11100000000100011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111110 -01100000000011111101000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 -00000011111110000000000001100000 -00000000000000000000000000000000 -01000000000100001010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111111 -01000000001011001010000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110100000000010000100000 -00000000000000000000000000000000 -11001000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -01000000000010001010100000000110 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111100100000000001000000 -00000000000000000000000000000000 -11000000000001000000110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -00000000000010000001100000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111100000000000000010000 -00000000000000000000000000000000 -00100000000000000001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000001000101101 -11100000000010000111100010000010 -11011110000000001011011110000000 -00101101111000000000101101111000 -00000010110110000000010000010000 -00000000000000000000000000000000 -01001000000110000000110000000000 -11110011000000000011110011000000 -00001111001100000000001111001100 -00000000111100110000000000111100 -10000000000011000001000000000010 -11001100000000001111001100000000 -00111100110000000000111100110000 -00000011110100100000001000010000 -00000000000000000000000000000000 -01000000000111001011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 -00000011110100000000010001100000 -00000000000000000000000000000000 -00001000000001011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -10000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110000100000000001110000 -00000000000000000000000000000000 -01001000000000011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010110100100000011001100000 -00000000000000000000000000000000 -00100000000000001001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -10100000000010110111110000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 -00000010110010000000000000100000 -00000000000000000000000000000000 -01101000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110110100000000000110000 -00000000000000000000000000000000 -11101000000001001010100000000000 -11111010000000000011111010000000 -00001111101000000000001111101000 -00000000111110100000000000111111 -10110000100011111110100000000011 -11101000000000001111101000000000 -00111110100000000000111110100000 -00000011111110100000010001110000 -00000000000000000000000000000000 -01001000000100001010000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000100110011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 -00000011110100100000000001100000 -00000000000000000000000000000000 -00001000000100001010010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111100 -01000010000011001001110000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011110000100000010000100000 -00000000000000000000000000000000 -10000000000001000010010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010101001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010111000000000000000010000 -00000000000000000000000000000000 -00011000000001010010010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -11000000000010001001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010110011100000000001000000 -00000000000000000000000000000000 -00001000000001000000010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01001010000010100001000000000010 -11000100000000001011000100000000 -00101100010000000000101100010000 -00000010110010100000010100000000 -00000000000000000000000000000000 -10111000000111010110000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00001000000011001000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 -00000011111011100000001101010000 -00000000000000000000000000000000 -10111000000111011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111111 -01000000000011111101000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011111001100000010001110000 -00000000000000000000000000000000 -00111000000001011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01001000000011111101000000000011 -00100100000000001111100100000000 -00111110010000000000111110010000 -00000011111001100000000001110000 -00000000000000000000000000000000 -00011000000100001110000000000000 -10111000000000000010111000000000 -00001011100000000000001011100000 -00000000101110000000000000101110 -00000001000010111010000000000011 -01100000000000001011100000000000 -00101110000000000000101110000000 -00000010110011100000011000110000 -00000000000000000000000000000000 -01001000000000001000010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01010000100010110001000000000010 -00000100000000001011000100000000 -00101100010000000000101100010000 -00000010110100100000000000100000 -00000000000000000000000000000000 -00011000000101001010010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01011000000010111001000000000010 -01100100000000001011100100000000 -00101110010000000000101110010000 -00000010110001100000000000100000 -00000000000000000000000000000000 -10100000000001001010010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001010000000011 -00100100000000001111100100000000 -00111110010000000000111110010000 -00000011111010000000010001110000 -00000000000000000000000000000000 -01101000000100001010010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01100000000011111001110010000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011110100100000000001100000 -00000000000000000000000000000000 -00101000000100001010000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00010000000011011000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 -00000011110000100000010000100000 -00000000000000000000000000000000 -00001000000001000010100000000000 -10111010000000000010111010000000 -00001011101000000000001011101000 -00000000101110100000000000101110 -10000000000010000110100000000010 -11101000000000001011101000000000 -00101110100000000000101110100000 -00000010110010100000000001000000 -00000000000000000000000000000000 -00001000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010010011010000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110010100000000001010000 -00000000000000000000000000000000 -00100000000000010001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11100000000010000111010000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111000000000010001000000 -00000000000000000000000000000000 -00101000000010000001111000000000 -11110111100000000011110111100000 -00001111011110000000001111011110 -00000000111101111000000000111100 -11100000000011010111100000000011 -11011110000000001111011110000000 -00111101111000000000111101111000 -00000011111000100000001000000000 -00000000000000000000000000000000 -00001000000111011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110000100000010001100000 -00000000000000000000000000000000 -01100000000001001011111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111011111000000000111111 -11100000000011111110100000000011 -11111110010000001111111110000000 -00111111111000000000111111111000 -00000011110100000000000000100000 -00000000000000000000000000000000 -10101000000000001001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110110010010000011 -10011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010100000011000100000 -00000000000000000000000000000000 -00000000000000001001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000010000010110100000000000010 -11011100000100001011011100000000 -00101101110000000000101101110000 -00000010110001000000000000100000 -00000000000000000000000000000000 -01000000000101001000110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00010000101100110000000000101100 -11110000000010110000100000000010 -10001100000000001011001100000000 -00101100110000000000101100110000 -00000010110110000000000000100000 -00000000000000000000000000000000 -10101000000001011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000001111010110000000000111110 -11100000000011111011000000100011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111010000000010001100000 -00000000000000000000000000000000 -10100000000100001110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000001111110110000000000111100 -11000010000011111001000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111000000000000000110000 -00000000000000000000000000000000 -00000001000100001111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000100111111 -11000000000011001111000000000011 -00111100000000001111111100000000 -00111111110000000000111111110000 -00000011111010000000010000110000 -00000000000000000000000000000000 -10000001000001000110110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010000001100000000010 -10101100000000001011101100000000 -00101110110000000000101110110000 -00000010111010010100000000010000 -00000000000000000000000000000000 -10000000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101010110000000000101110 -11000000000010001011100000000110 -00101100000000001011101100000000 -00101110110000000000101110110000 -00000010111000000000000001000000 -00000000000000000000000000000000 -00001000000000000000110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000101010001001000000000110 -10001100000000001011001100000000 -00101100110000000000101100110000 -00000010110000100000010100000000 -00000000000000000000000000000000 -00000000000111000110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011001001000000000011 -00101100000000001111101100000000 -00111110110000000000111110110000 -00000011111000000000001101010000 -00000000000000000000000000000000 -10100000000111011111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00001000111111110000000000111111 -11000000000011111101000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 -00000011111010000000010001110000 -00000000000000000000000000000000 -11000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000001111111011000000000111111 -11100000000011111111100000000011 -00111110000000001111111110000000 -00110011101000000000110001111000 -00000011001100000000000001110000 -00000000000000000000000000000000 -11000000000100001110111000000000 -10111011100000000010111011100000 -00001011101110000001001011101110 -00000000101110111000000000101110 -11100000000010111011000000000010 -00001100000000001011001100000000 -00100010100000000000100010110000 -00000010001100000000010000110000 -00000000000000000000000000000000 -11001000000001011100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100010000000000101100 -11000000000010110011000000001010 -00001100000000001011001100000000 -10100000100000000010100010010000 -00000010001100100000000101110000 -00000000000000000000000000000000 -11000000000101011010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110001000000101110 -11000000000010110011000000000010 -00101100000000001011001100000000 -00100000100000000000100010111000 -00000010001100000000010001100000 -00000000000000000000000000000000 -10000000000101011110110000000000 -11111011000000000011111011000000 -00001111101100000010001111101100 -00000000101110011000000000111110 -11000000000011111011000000000011 -00101100000000001111101100000000 -00110010100000000000110010111000 -00000011001000000000010001110000 -00000000000000000000000000000000 -11100000000000011011110000000000 -11111111000000000011111111000000 -00001111111100000000000011111100 -00000000111111111000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111100000000000111111110000 -10001011111110000000000001100000 -00000000000000000000000000000000 -01000100000100001010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110010000000000111110 -11000000000011111011001000000011 -11101100010000001100101100100000 -00110010100000000000110010110000 -00000011000101010000010000100000 -00000000000000000000000000000000 -11011000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011010000000010 -11101100000000101000101101000000 -00100010100000000000100000101100 -01000010001100100000000001000000 -00000000000000000000000000000000 -11100000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001110000000001000001100000000 -10100000100000000000100000111100 -00000010001110000000000001010000 -00000000000000000000000000000000 -11110000000000010001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001000011110000000 -00100011101000000010100011111000 -00011010001111000000000001000000 -00000000000000000000000000000000 -01001000000010000000110010000100 -11110011000000010011110011000000 -01001111001100010000011111001100 -00000000111100110000000000111100 -11000000000011110011000000000011 -11001100010000001100001100000000 -00110000100000000000110000110000 -10000011000100100000001000000000 -00000000000000000000000000000000 -01000000100111011011110000000000 -11111111000000000011111111000000 -00001111111100000100011111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111101100000000000111111110000 -00000011110100000000011001100000 -00000000000000000000000000000000 -10101000000001011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00110010100000000000110010111000 -00000011001010100000000001110000 -00000000000000000000000000000000 -11001000100100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00100001100000000000100001110000 -00000010001100100010010001100000 -00000000000000000000000000000000 -10000001000000001001111000000000 -10110111100000000010110111100000 -00001010011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00100001101000000000100001111000 -00000010001001000100000000100000 -00000000000000000000000000000000 -01001000000101001100110000000000 -10110011000000000010110011000000 -10001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00100000100000000010100000101000 -01001010000100100000010000110000 -00000000000000000000000000000000 -11100000100101011010100000000000 -11111010000000000011111010000000 -00001110101000000000001111101000 -00000000111110100000000000111110 -10000000000011111010000000000011 -11101000000000001111101000000000 -00110011100000010000110011101011 -00000011001110100000010001100000 -00000000000000000000000000000000 -01001000000000001110000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -10111110000000000000111110000000 -00000011110100100000000000110000 -00000000000000000000000000000000 -00001000000100001110010000001000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00110010010000000000110010010000 -00000011110000100000010000110000 -00000000000000000000000000000000 -10000000000001000110010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00100000010100000000100010010000 -00000010111001000000000000010000 -00000000000000000000000000000000 -00011000000001010010010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011000100000000 -10101010010000110010100010010000 -00000010110001100000000001000000 -00000000000000000000000000000000 -00001000000001000000010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11001100000000001011000100000000 -00100010010000000000100000010000 -01000010110000100000000100000000 -00000000000000000000000000000000 -10111000000011010110000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000010100000011 -11100001010000001111000001010000 -00110000000101000100110000000101 -00000011111011110000001101010000 -00000000000000000000000000000000 -11011000000111011110010000000000 -11111001000000000011111001000001 -00001111100100000000001111100100 -00000000111111010000000000111110 -01000000000011111001100000000011 -11100110000000001111100110000000 -10111111011000000000111111011000 -00000011111001100000011001110000 -00000000000000000000000000000000 -10011000000001011110010000000000 -11111001000000000011111001000000 -00001111100100000000001101100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001110100100000000 -00110010010000000000110010010000 -00000011001101100000000001110000 -00000000000000000000000000000000 -01111000000100001110000000000000 -10111000000000000010111000000000 -00001011100000000000001011100000 -00000000101110000000000000101110 -00000000000010110000000000000010 -11100000000000001000100000000000 -00100010000000000000100011100000 -01010010000111110000010000110000 -00000000000000000000000000000000 -01001000000001011100010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11010100000000001010010100000000 -00100001010000000010100001010000 -00001010000100100000000101110000 -00000000000000000000000000000000 -00011000000101011010010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11110100000000001000010100000000 -00100011010000000000100001010000 -00000000000001100000010001100000 -00000000000000000000000000000000 -10100000100101011110010000001000 -11111001000000000011111001000000 -00001111100100000010001101100100 -00000000111110010000000000111110 -01000000000001111001000000000011 -11100100000000001110100100000000 -00110010010000000000110010010000 -00000011001010100000010001110000 -00000000000000000000000000000000 -01101000000000011010010000000000 -11111001000000000011111001000000 -00001111100100000000000011100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -10111110010000000000111110011010 -00000011110110100000000001100000 -00000000000000000000000000000000 -00101000000100001010000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -01100000000000001101100000000000 -00110010000000000000110011000100 -00001011000010100000010000100000 -00000000000000000000000000000000 -00100000000001010010100000000000 -10111010000000000010111010000000 -00001011101000000000001011101000 -00000000101110100000000000101110 -10000000000010111010000000000010 -00101000000000001000101000000000 -00100010100000000110100011101000 -00000010000010100000000001000000 -00000000000000000000000000000000 -00101000000001010100110000010000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -01101100000000001001001110000000 -00100010110000000000100000100000 -00000010100010100000000001010000 -00000000000000000000000000000000 -10100001000000010001110000000000 -10110111000000000010110111000000 -00001011011100000100001011011100 -00000000101101110000000000101101 -11000000000010110110100000000010 -00010100000000001000011001000000 -00100001110000000001100001100000 -10000110101011000000000001000000 -00000000000000000000000000000000 -10101000100010000001111000000000 -11110111100000000011110111100000 -00001111011110000000001111011110 -00000000111101111000000000111101 -11100000000011110111100000000011 -01111010000000001101111110000000 -10110011101000000100110011101000 -00000011101010100000001000000000 -00000000000000000000000000000000 -00001000000111011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111010000000000011 -11100000000000001111101000000000 -10111110100000000000111110100000 -00000011010000100000011001100000 -00000000000000000000000000000000 -11000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000100111111111000000000110011 -11100000000011111111100000000011 -11111110000000001110110110000000 -00110011111000010110110011001000 -01000011001100000000000001110000 -00000000000000000000000000000000 -10101001000100011001110000000000 -10110111000000000010110111000000 -00001011011100010000001011011100 -00000000101101110000000000110101 -11000000000010110110000000000010 -11010100000000001000110000010000 -00100001110000000000100001000001 -00000011011010100000010001100000 -00000000000000000000000000000000 -00010000000000001001110000000000 -10110111000000000010110111000000 -00001010011100000000001011011100 -00000000101111110000000000100001 -11000000000010110111000000000010 -11011000000000001010010100000000 -10100001100000000000100001001000 -00000010000001100000000000100000 -00000000000000000000000000000000 -01100000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110001000000100100 -11000000000010110010000000000010 -11100000000000001000100000000001 -00100010100000000000100010000100 -00000010010110000000010000110000 -00000000000000000000000000000000 -11111000000101011010110000000000 -11111011000000000011111011000000 -00001110101100000000001111101100 -00000000111110111000000000110010 -11000000000011111011000000000011 -11101100000000001110101100000000 -00110010010000010100110010110100 -01000011001110100000010001100000 -00000000000000000000000000000000 -10000100000000001110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111010000000000011 -11100100000000001111101000000000 -00111110010000000000111110111100 -00000011111101000000000000110000 -00000000000000000000000000000000 -11000000000100001111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111000000000001100111100000000 -00110011000001000000110011110000 -10000000001000000000010000110000 -00000000000000000000000000000000 -10000000000001000110110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111010000000000010 -11100000000000101000101000000000 -00100010000000000000100010110100 -00000010001000000000000000010000 -00000000000000000000000000000000 -10000000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001000100100000000 -10100010010000000000100010010000 -10000010101000000000000001000000 -00000000000000000000000000000000 -00001000000001000000110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110010000000000010 -11000100000000001000000000000000 -00100000010000000010100000010000 -01000010100000100000000100000000 -00000000000000000000000000000000 -10000000000011010110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11001000000000001100100100000000 -00110000000000000000110000010000 -00000011101000000000001101010000 -00000000000000000000000000000000 -10100000000111011111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111101110000000000111111 -11000000000011111110000000000011 -11110000000000001111110000000000 -00111111000000000000111111010000 -00000011011010000000011001110000 -00000000000000000000000000000000 -11000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001101111110 -00000000111011111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111000000000111111111000 -00000011111100000000000001110000 -00000000000000000000000000000000 -10000000000100001110111000000000 -10111011100000000010111011100000 -00001011101110000000001011101100 -00000000100010111000000000101110 -11100000000010111011100000000010 -11101110000000001011101110000000 -00101110111000000000101110111000 -00000010111000000000010000110000 -00000000000000000000000000000000 -10001000000001011100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101000110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111000100000000101110000 -00000000000000000000000000000000 -11000000000101011010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000100010110000000000101110 -11000000000000111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111100000000010001100000 -00000000000000000000000000000000 -00000000000101011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111010110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110000000000010001110000 -00000000000000000000000000000000 -11100000000000011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 -00000011111110000000000001100000 -00000000000000000000000000000000 -01000000000100001010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110100000000010000100000 -00000000000000000000000000000000 -11001000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101101 -11010000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000010000101110110000 -00000010111100100000000001000000 -00000000000000000000000000000000 -11100000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001011101100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010111110000000000001010000 -00000000000000000000000000000000 -00100000000000010001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000001101111000 -00000010110110000000000001000000 -00000000000000000000000000000000 -01001000000010000000110000000000 -11110011000000000011110011000000 -00001111001100000000001111001100 -00000000111100110000000000111100 -11000000000011110011000000000011 -11001100000000001111001100000000 -00111100110000000000111100110000 -00000011110100100000001000000000 -00000000000000000000000000000000 -01000000000111011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -01000000111111110001000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 -00000011110100000000011001100000 -00000000000000000000000000000000 -10101000000001011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000110010110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111010100000000001110000 -00000000000000000000000000000000 -01001000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000110101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010110100100000010001100000 -00000000000000000000000000000000 -11000000000000001001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000100001111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 -00000010111100000000000000100000 -00000000000000000000000000000000 -01001000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000100100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110100100000010000110000 -00000000000000000000000000000000 -11101000000101011010100000000000 -11111010000000000011111010000000 -00001011101000000000001011101000 -00000000110010100000000000111110 -10000000000011111010000000000011 -11101000000000001111101000000000 -00111110100000000000111110100000 -00000011111110100000010001100000 -00000000000000000000000000000000 -01001000000000001110000000000000 -11111000000000000011111000000000 -00001111100000000000001011100001 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 -00000011110100100000000000110000 -00000000000000000000000000000000 -00001000000100001110010000000000 -11111001000000000011111001000000 -00001111100100000000001110100110 -10001100110010010000010000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011110000100000010000110000 -00000000000000000000000000000000 -10000000000001000110010000000000 -10111001000000000010111001000000 -00001011100100000000001011100111 -00100010100010010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010111000000000000000010000 -00000000000000000000000000000000 -00011000000001010010010000000000 -10111001000000000010111001000000 -00001011100100000000001011000100 -00000000100010010000000000101110 -01000000000110111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010110001100000000001000000 -00000000000000000000000000000000 -00001000000001000000010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000100000010000000000101100 -01000000000110110001000000000010 -11000100000000001011000100000000 -00101100010000000000101100010000 -00000010110000100000000100000000 -00000000000000000000000000000000 -10111000000011010110000000000000 -11111000000000000011111000000000 -00001111100000000011011111000000 -00001000110010000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 -00000011111011100000001101010000 -00000000000000000000000000000000 -10011000000111011110010000000000 -11111001000000000011111001000000 -00001111100100000000011111100100 -10100000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011111001100000011001110000 -00000000000000000000000000000000 -00011000000001011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111110100 -00000000110010010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011111001100000000001110000 -00000000000000000000000000000000 -00111000000100001110000000000000 -10111000000000000010111000000000 -00001011100000000000001011100000 -10000000100010000000000000101110 -00000000000010111000000000000010 -11100000000000001011100000000000 -00101110000000000000101110000000 -00000010110011100000010000110000 -00000000000000000000000000000000 -00001000000001011100010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00100000100000010000000000101100 -01000000000010110001000000000010 -11000100000000001011000100000000 -00101100010000000000101100010000 -00000010110000100000000101110000 -00000000000000000000000000000000 -00011000000101011010010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000100010010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010000 -00000010110001100000010001100000 -00000000000000000000000000000000 -10100000000101001010010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000010110010010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011111010000000010001110000 -00000000000000000000000000000000 -00101000000000001010010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011110010100000000001100000 -00000000000000000000000000000000 -00101000000100001010000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -01000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00101110000000000000111110000000 -00000011110010100000010000100000 -00000000000000000000000000000000 -00101000000001000010100000000000 -10111010000000000010111010000000 -00001011101000000000000011111010 -00000000101110100000000000101110 -10000000000010111010000000000010 -11101000000000001011101000000000 -00101110100000000000101110100000 -00000010110010100000000001000000 -00000000000000000000000000000000 -00101000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000001000011101100 -10000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110010100000000001010000 -00000000000000000000000000000000 -00100000000000010001110000000000 -10110111000000000010110111000000 -00001011011100000000001011010000 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010000000000001000000 -00000000000000000000000000000000 -00101000000010000001111000000000 -11110111100000000011110111100000 -00001111011110000000001111011110 -00000000111101111000000000111101 -11100000000011110111100000000011 -11011110000000001111011110000000 -00111101111000000000111101111000 -00000011111010100000001000000000 -00000000000000000000000000000000 -00001000000111011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111111000 -00000000111110110000000000111110 -11000000010011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110000100000011001100000 -00000000000000000000000000000000 -01000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111111100100000011 -11111110000000001111111110000000 -00111111111000000000111111111000 -00000011110100000000000001110000 -00000000000000000000000000000000 -10101000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011010000 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010100000010001100000 -00000000000000000000000000000000 -00000000000000001001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000110 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010110001000000000000100000 -00000000000000000000000000000000 -01100000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011000000 -00010000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110110100000010000110000 -00000000000000000000000000000000 -10101000000101011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001011101100000000 -00111110110000000000111110110000 -00000011111010100000010001100000 -00000000000000000000000000000000 -10000000000000001110110000000000 -11111011000000000011111011000000 -00001111101100000000001111100001 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111001000000000000110000 -00000000000000000000000000000000 -00000001000100001111110000000000 -11111111000000000011111111000000 -00001111111100000000001111110100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 -00010011111000000000010000110000 -00000000000000000000000000000000 -10000001000001000110110000000000 -10111011000000000010111011000000 -00001011101100000000001011100101 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111000000100000000010000 -00000000000000000000000000000000 -10000000000001010110110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -10100000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111000000000000001000000 -00000000000000000000000000000000 -00001000000100000000110000000000 -10110011000000000010110011000000 -00001011001100000000001011000000 -00000000101100110000000000101100 -11000000000010110011000000010010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110000100000000100000000 -00000000000000000000000000000000 -00000000000010000110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101000 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111000000000001101010000 -00000000000000000000000000000000 -10100000000101011111110000000000 -11111111000000000011111111000000 -00001111111100000000001111110000 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000011111111100000000 -00110111110000001000111111110000 -00000011111010000000011001110000 -00000000000000000000000000000000 -11000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111000000100111111011000 -00000011001100000000000001110000 -00000000000000000000000000000000 -11000000000100001110111000000000 -10111011100000000010111011100000 -00001011101110000000001011101110 -00000000101110111000000000101110 -11100000000010111011100000000010 -11101110000000001011101110000000 -00101110111000000000101110011000 -00000010001100000000010000110000 -00000000000000000000000000000000 -11001000000001011100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100010000 -00001010001100100000000101110000 -00000000000000000000000000000000 -11000000000101011010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110010000 -00000010001100000000010001100000 -00000000000000000000000000000000 -11000000000101011110110000000000 -10111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111100010010 -00000011001100000000010001110000 -00000000000000000000000000000000 -11100000000000011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000010 -00111111110000000000111111011001 -00000011111110000000000001100000 -00000000000000000000000000000000 -01000100000100001010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110010000 -10000011000101010000010000100000 -00000000000000000000000000000000 -11011000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000001000101110011000 -10000010001100100000000001000000 -00000000000000000000000000000000 -11100000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100011000 -00000010001110000000000001010000 -00000000000000000000000000000000 -10110000000000010001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101111011000 -00001010001011000000000001000000 +11000000000001000000110000101000 +10111000000000000010000010000010 +00011011101100001000001011001100 +00000000101100010000000000101000 +11000000000010110010100001000010 +00000000000000011000000100000000 +00101100100000000000100000010100 +10000010111110000000000001010000 +00000000000000000000000000000000 +11110000000000000001011000100000 +10110000100101000010000111100000 +10001011011110010000001011011110 +00000000101101011000000000101101 +11100000010010110110100000010010 +00001010000000111000011110010000 +00101101111011000000100001011000 +00000010111111100000000001000000 00000000000000000000000000000000 -01001001000010000000110010000000 -11110011000000000011110011000000 -00001111001100010000001111001100 -00000000111100110000000000111100 -11000000000011110011000000000011 -11001100000000001111001100000000 -00111100110000000000111100000000 -00000011000100100000001000000000 +01001000000110000000110000001001 +11110001000000001011000010000000 +00001111001100000000001111001100 +10000000111100110001000000111100 +11000100000011110010000011000011 +00000100000000001100000100010000 +00111100100010000010110000110000 +00000011110100100000001000000000 00000000000000000000000000000000 -01000000000111011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000001000111101010001 +11000000100111001011110000100000 +11111101000000000011111111000000 +10011111111100000000001111111100 +00000000111111010000000000111111 +11010001000011110110010000001011 +11111100000001001111111100010100 +00111111110010000100111111110000 00000011110100000000011001100000 00000000000000000000000000000000 -10101000000001011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110010000 -00001011001010100000000001110000 -00000000000000000000000000000000 -11001000100100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010001100100010010001100000 -00000000000000000000000000000000 -10000000000000001001111000000100 -10110111100000010010110111100000 -10001011011110000000001011011110 -00000000101001111000000000101101 -11100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101111011000 -00000010001001000000000000100000 +00001000000101011110110100100000 +11111011010001100011001010001010 +00011111101100101000001100101100 +00000010110010010100100000111110 +11010000000011111010010000000011 +11100000000010001100100110000000 +00110010010000000000110011010000 +00000011111010100000000001110000 00000000000000000000000000000000 -01001000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100010000 -00001010000100100000010000110000 +11001000100010011001010000000000 +10110111000010001010000111001000 +10011011001100100000101000111100 +11000000100001110100000000101101 +11011000100010110110000000010010 +11001100000000001000011100000000 +00101000110000000000100001010000 +00000010111100100000010001100000 00000000000000000000000000000000 -11100000000101011010100000000000 -11111010000000000011111010000000 -00001111101000000000001111101000 -00000000111010100000000000111110 -10000000000011111010000000000011 -11101000000000001111101000000000 -00111110100000000000111111101111 -00000011001110100000010001100000 +00100001000000001001111010000000 +10110111100000000010000110100100 +00001011011110010000001000011110 +01000000100001111000000001101101 +11100000000010110110100000000010 +11011110001000001000110110000001 +00100001011000000000100001111000 +00000010111000000000000000100000 00000000000000000000000000000000 -01001000000000001110000000000000 -11111000000000000011111000000000 +01101000000001001100110000000000 +10110011111101000010000011100000 +00001011101100000000001000001100 +00000000100000110000000000101100 +11000000100010110010000000000010 +11001100000000101000001100000000 +00101000111011000000100000110000 +00000010110100100000010000110000 +00000000000000000000000000000000 +11100000100101001010100000001000 +11111010010000000011001010100000 +00001011101000000001001100101000 +00001000110010100000000000111110 +10000000000011111010010100000011 +11111010010000001100111001000000 +00110011100000000010110011100010 +00000011111110100000010001100000 +00000000000000000000000000000000 +01001000000000001010000000000100 +11110000000000010011111000100100 00001111100000000000001111100000 -00000000111110000000000000111110 +00000000111110000100000000111110 00000000000011111000000000000011 -11100000000000001111100000000000 +11100001000000001111100000001100 00111110000000000000111110000000 00000011110100100000000000110000 00000000000000000000000000000000 -00001000000100001110010000001000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110011000 -00000011000000100000010000110000 +00001000000100001010010000000000 +11111011000000001011001001000000 +00001111100100000000001101100100 +00010000110010010010000000111110 +01000000000011111011000000000011 +11000100010000001110100100000001 +00111100010000000000110010010000 +00000011110000100000010000110000 00000000000000000000000000000000 -10000000000001000110010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 +10000000000001000010110000010000 +10111001000001100000001011110000 +00000011100110010000001000100100 +00000000100010110100100000101110 01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101100011010 -00000010001001000000000000010000 +11100110000011000000100100000000 +00101110010000000000100010010000 +00000010111000000000000000010000 00000000000000000000000000000000 00011000000001010010010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000000000101110010001 -00001010000001100000000001000000 +10111001000000000010001001000100 +01000011100100000000001001000100 +00000000100010010000000000101110 +01000000000110111011000000000010 +11100100001000001000100100000100 +00101110010000000000100010010000 +00000010110001100000000001000000 00000000000000000000000000000000 -00001000000001000000010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000010010110001000000000010 -11000100000000001011000100000000 -00101100010000000000101110010000 -00000010000000100000000100000000 +00001000100000000000010000000000 +10110001001010000010000011000000 +00011011001100101000001000000100 +10101100100000010000000000101100 +01001010010010110001001000000010 +11000100100000001000000100000100 +00101100010010000010100000010010 +00000010110000100000000100000000 00000000000000000000000000000000 -10111000000011010110000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 -00000011001011110000001101010000 +10111000000111000110000000000000 +11110000001000001011001000000000 +00011111100001110000001101100000 +10000000110010000000000000111110 +00001000000011111000000000000011 +11100000000000001110100000000000 +00111110000000000000110010000000 +00000011111011100000001101010000 00000000000000000000000000000000 -11011000000111011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000001000111101010000 +11111000100111011110010000000000 +11111001001010001011111001000000 +00001111100100000001001111100100 +10100010111110010000000000111110 +01001010000010111001000100000011 +11110100010001101111010100000000 +00111111010001000010111111010001 00000011111001100000011001110000 00000000000000000000000000000000 -00011000000001011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111101010000 -00000011000101100000000001110000 +10111000000101011110010000000101 +11101001101100010011111001000001 +10001111110101100001001101100100 +11010000111110110000000000110010 +01001100000011000001000010000011 +11100100000000101100101100000000 +00111110010000000000110010010010 +00000011111001100000000001110000 00000000000000000000000000000000 -01111000000100001110000000000000 -10111000000000000010111000000000 -00001011100000000000001011100000 -00000000101110000000000000101110 -00000000000010111000000000000010 -11100000000000001011100000000010 -00101110000000000000101110100000 -00000010100111100000010000110000 +01011001000000001010000000000001 +11101000010000010010111000000001 +00001110100000100000001000100001 +11000001101110000000000000100010 +00011101001010001000010000000010 +11100001010000001000100000000000 +00101110000100000000100011000100 +00000010110011100000010000110000 00000000000000000000000000000000 -01001000000001011100010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11000100000000001011000100000000 -00101100010000000000101110110000 -00000010000100100000000101110000 +01001000000001001000010000000000 +10100001011000000010110001000000 +00001011000101100000011001000100 +00000000101110010000000000101000 +01000000000010000101100000000010 +11010110000100001000011100000100 +00101101110100000000100101010001 +00000010110100100000000101110000 00000000000000000000000000000000 -00011000000101011010010000010000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000100110 -01000000000010111001000000000010 -11100100000000001011100100000000 -00101110010000001000101110011000 -00000010100001100000010001100000 +00011000100101001010010001010000 +10101001100000000010111001000000 +00001010100100000000001000100100 +00000000101110010010000000101010 +01000000000010001001000000000010 +11110100000000001000110100100000 +00101111010000000100100111011000 +00000010110001100000010001100000 00000000000000000000000000000000 -10100000000101011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000100111100010100 -00000011001010000000010001110000 +10100000000101001010010000000100 +11101001001000100011111001000000 +00001111100100000001001101100100 +00010000101100010000000000111010 +01000000000011001001000000000011 +11100101010000001100100110100000 +00111110010000000010110110010000 +00000011111010000000010001110000 00000000000000000000000000000000 -01101000000000011010010000000000 +01101000000000001010011000010100 11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 +10001111000100001000001111100100 +00010000111110010000000010110110 01000000000011111001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011110110100000000001100000 +11000100000010101111100110000000 +00111100010000000000111010010000 +10000011110110100000000001100000 00000000000000000000000000000000 -00101000000100001010000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000100 -00000011000010100000010000100000 +01101000000100001010001001000000 +11111000010001110011001000000000 +00001111100000000000001101100000 +00010000110010001000000000111100 +00000000000011111000000001000011 +00100000000000001100100000000000 +00111110000000000000110011000000 +00001011000010100000010000100000 00000000000000000000000000000000 -00101000000001010010100000010000 -10111010000000000010111010000000 -00001001101000000000001011101000 -00000000101110100000000000101110 -10000000000010111010000000000010 -11101000000000001011101000000000 -00101110100000001000101111100000 +10000000100001000010101000000000 +10111010000000000010001010000000 +00001011111000000000001000101000 +00010010100010100000000000101110 +10000000010010111010000000010010 +00101000000010001000101000000001 +00101110100000000000100010100000 00000010000010100000000001000000 00000000000000000000000000000000 -00101000000001010100110000010000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 +01001000000001010100110000000000 +10110011000000000010000011000000 +00001011001011000000001001001100 +00000000100000111000000000001100 11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00001010010010100000000001010000 -00000000000000000000000000000000 -10100000000000010001110000000000 -10110111000000000010110111000000 -00001001011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101111110000 -00000010011010000000000001000000 -00000000000000000000000000000000 -10101000100010000001111000000000 -11110111100000000011110111100000 -00001111011110000000001111011110 -00000000111101111000000000111101 +00001100000010101000001100000000 +00101100110000000000100000100100 +00000010000010100000000001010000 +00000000000000000000000000000000 +01100001000000010001100000000100 +10110111101000000010000111000000 +00001011011101000000001000001110 +10000100100001110100000000101101 +11000100000110110101100000001010 +00001100000000001000011100000100 +00101101110000000010100000101000 +00000010001010000000000001000000 +00000000000000000000000000000000 +00101000100110000001111000000101 +11110011100100000011000110100000 +00011111111110000000001101011110 +10001001110001111000100000111101 11100000000011110111100000000011 -11011110000000001111011110000000 -00111101111000000000111101111000 -00000011011010100000001000000000 -00000000000000000000000000000000 -00001000000111011010110000000000 -11111011000000000011111011000000 -00001101101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011100000100000011001100000 -00000000000000000000000000000000 -00000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111000000000111101111000 -00001011000000000000000001110000 -00000000000000000000000000000000 -10101001000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110100 -00000010001010100000010001100000 -00000000000000000000000000000000 -00000000000000001001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -10000010000000000000000000100000 -00000000000000000000000000000000 -00100000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100111000 -10000010000010000000010000110000 -00000000000000000000000000000000 -10101000000101011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110111000 -00000011001010100000010001100000 -00000000000000000000000000000000 -10000000000000001110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110100 -00000011111000000000000000110000 -00000000000000000000000000000000 -00000001000100001111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110001 -00000011110000000100010000110000 -00000000000000000000000000000000 -10000000000001000110110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110101000 -00000010111000000000000000010000 +00010010000000001100011110000000 +00111100111000000000110001101000 +00000011001010100000001000000000 +00000000000000000000000000000000 +01001000000111011010100100100000 +11111011011000001011111001010010 +00001111101100000000001110101100 +10100100111110110000000000111110 +11010000100011111001000000100011 +11100000000000001111101100000000 +00111110110000000000111110100000 +00000011110000100000011001100000 00000000000000000000000000000000 -10000000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111000000000000001000000 +11100000110011001011111000011000 +11111111100010100011111111100000 +00001101111110000000001100111110 +00100001111111111001000000111111 +11110000000011001111100100000011 +00111110010000001100110110000000 +00110001011000001000110001111000 +00000011010100000000000001110000 +00000000000000000000000000000000 +10101000000000001001000000000000 +10110111000100000010110111000100 +00001101011000000000101000011100 +00000000101101110001000000101101 +11000000000010000101011100010010 +00011100110000101000010100011001 +00100001010000000000100001110001 +10000011001010100000010001100000 +00000000000000000000000000000000 +00010010000000001001110000000000 +10100111000000000010111110000000 +00001000001100000000001000011100 +00000000101101000001000000101101 +11000000000010000011000001000010 +00010000000000011000010100000000 +00100001010000100000100001111000 +00000010000001000000000000100000 +00000000000000000000000000000000 +01000000000101001000001000010000 +10110011000000110010110001010010 +10001001001100000000001000001100 +00010100101100001000000000101110 +11000000000010000001010000000010 +00000000000000001000000110000000 +00100000011000000000100000110000 +00000010000110100000010000110000 +00000000000000000000000000000000 +11111000000000011010010000010000 +11101111100000000011111001010000 +00001100100100000000001100111100 +00010000101110001000000001111111 +11000000000011001010010000000011 +00101110000000001100001010000000 +00110010101100000000110010001000 +00001011001011100000010001100000 +00000000000000000000000000000000 +10100100000100001110010101000000 +11110011000100100011111010010001 +00001111100101000010001110001100 +00000000111110000001000000111110 +11000000000011111000110000010011 +11101110001000001111101000100000 +00111100100000000000111110000000 +00100011101000000000000000110000 +00000000000000000000000000000000 +11000001000100001111010000010000 +11101111000000000011001100110000 +00001111111000000000001111111100 +00000000110011010000000000111110 +11000000000011001110000010000011 +11000000000000101100111000000000 +00110011100000100000110001000000 +00000011001000000000010000110000 +00000000000000000000000000000000 +10000001010001000110010010000000 +10111011000000000010101000110000 +00001110101111100001001011101100 +00000000100010010000000000101110 +11000000000010001000000000000010 +11100000000000001000101000000000 +00100010100000000000100010000000 +00000010001000000000000000010000 00000000000000000000000000000000 -00001000000001000000110000000000 -10110011000000000010110011000000 +10000000000001010110101000000000 +10111011000000000010001001010000 +00001011101110000100001011101100 +00000000100010101100000000101110 +11000000000010101010000000000010 +11101100000000001000100000000000 +00100010000000000000100010010010 +00000010001000000000000001000000 +00000000000000000000000000000000 +00001010000001000000000000000000 +10110011000000000010100010000000 00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110000100000000100000000 -00000000000000000000000000000000 -00000000000011010110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110010000 -00000011110000000000001101010000 +00000010100000100000000000101100 +11000000000010000000000000000010 +11001100000000001000000010000000 +00100000001000000000100000010000 +00000010000000100000000100000000 00000000000000000000000000000000 -10100000000111011111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111100000 +10000000000000000110100000000001 +11101111000000000011001000000000 +00001111100100000000001111111100 +00001001110010000000000100111111 +11000000100011001010000000000011 +11100000000000001100100000000000 +00110010000000000000110010010000 +00000011001000000000001101010000 +00000000000000000000000000000000 +10100010000101011011000000000001 +11111111000000000011110100000000 +00001110110000000100001111111100 +00000000111111000000000100111111 +11000001000011111100000000000011 +11110000000000001111010000000000 +00111111000000000000111111010000 00000011111010000000011001110000 00000000000000000000000000000000 11000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111110000 -00000000111111111000000000111111 -01000000000011111100000000001011 -00111110000000001111111110000000 -00111111111000000000111111111000 +11111100100000000011111111100000 +00000111110100000000001111111100 +10000000010001111000000000111111 +11000000000011001111100000000011 +11111110000000001111111110000000 +00110011111000000000111111111000 00000011111100000000000001110000 00000000000000000000000000000000 10000000000100001110111000000000 -10111011100000000010111011100000 -00001011101110000000001011101010 -00000000101110111000000000101110 -01100000110010111001100000000010 -00101110000000001011101110000000 -00101110111000000000101110111000 -00000010111000000000010000110000 -00000000000000000000000000000000 -10001000000001011100110000000000 -10110011000000000010110011000000 -00001011001100000000001011000000 -00000000101100110000000000101100 -01000000000010110000000000000010 -00001100000000001011001100000000 -00101100110000000000101100110000 +10111000100000000010111011100001 +00001011100100101001001011111101 +00000000100010111000000000101111 +11100000000010001011100000000010 +11101110000100001011101100001000 +00100010111000000000101110111000 +00000010111000000000010000110000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10110000000000000010110011000000 +00001011001000100000001011001101 +10101001100000110000000000101100 +11000000000010000011000000000010 +11001100000000001011001100100000 +00100000110000000000101100110000 00000010111000100000000101110000 00000000000000000000000000000000 11000000000101011010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101000 -00100000101110110000000000101110 -11000100000010111000000000000010 -00101100000001001011101100000000 -00101110110000000000101110110000 +10111001000000000010111011000000 +00001011101000010000001011001100 +00000000100110110000010000101110 +11000000000010001011000000000010 +11101100000000001011001100000000 +00100010110000000000101110110000 00000010111100000000010001100000 00000000000000000000000000000000 -01000000000101011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111100011 -00000000101110110000000000111110 -11100000000011111010010000100011 -00101100000000001111101100000000 -00111110110000000000111110110000 -00000011110100000000010001110000 +00000000000101011110110000000000 +11111001000000000011111011000000 +00001111100111000001001111101100 +00000010110010110000000100111110 +11000000001011001011000000000011 +11101100000000001111101100000000 +10110010110000000000111110110000 +00000010110000000000010001110000 00000000000000000000000000000000 11100000000000011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111110110 -01000000111111110000000000111111 -11000000000011110101000100000011 +11111101000100000011111111000000 +00001111110110000000001111111100 +00000100111011110000000000111111 +11000000000011111111000000000011 11111100000000001111111100000000 00111111110000000000111111110000 00000011111110000000000001100000 00000000000000000000000000000000 01000000000100001010110000000000 -11111011000000000011111011000000 -00001111101100000000001111100101 -00000000111110110000000000111110 -01000000000011111001010001000011 -00101100000000001111101100000010 +11111010000000000011001011001000 +00001111100101000000001100101100 +00000100110110110000000000101010 +11100000100011001011000000000011 +11101100000000001111101100000000 00111110110000000000111110110000 00000011110100000000010000100000 00000000000000000000000000000000 11001000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111001000000001010 -00101100000000001011101100000000 +10111011000000000010001011100000 +00001011100100000000001000111100 +00000000100010110111000000100001 +11000000010011011011010100000010 +11101100000000001011101100000000 00101110110000000000101110110000 00000010111100100000000001000000 00000000000000000000000000000000 11100000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001011000100 -00000000101100110000000000101100 -01000000000010110000000000000010 -00001100000000000011001100000000 +10110010000000000010000011010000 +00001011001000000000001000001100 +00010000100000110000000100101000 +11000000100010000011010000000010 +11001100000000001011001100000000 00101100110000000000101100110000 00000010111110000000000001010000 00000000000000000000000000000000 -00100000000000010001111000000000 -10110111100000000010110111100000 -00001011011110000010001011011010 -00000000101101111000000000101101 -11100000000010110010100000000010 -00011110000000001011011110000000 +00100000000100010001111000000000 +10111110100000000010000111100000 +00001011011010000010101000011110 +00001000100011111000000000100001 +11110000000010010111100000000010 +11011110000000001011011110000000 00101101111000000000101101111000 -00000010110010000000000001000000 +00000010110110000000000001000000 00000000000000000000000000000000 01001000000010000000110000000000 -11110011000000000011110011000000 -00001111001100000000001111000000 -10000000111100110000000100111100 -01000000000011110010010100001011 -00001100000000001111001100000000 -00111100110000000000111100110000 +10110000000000001011000011000010 +00001111101001010110001100101100 +01000000110000110001000000111000 +11000000000011000011001000000011 +11001100000000001111001100000000 +00111100110010000000111100110000 00000011110100100000001000000000 00000000000000000000000000000000 -01000000000111011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111000 -00000000111111110000000000111111 -11000000000011111110000000000011 +01000000000101011011110000000000 +11110101000000000011111111000000 +00001111111000000000001111111100 +00001000111011110000000000111101 +11010100000011111111000000000011 11111100000000001111111100000000 00111111110000000000111111110000 00000011110100000000011001100000 00000000000000000000000000000000 10101000000001011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111111100 -00000000111110110000000000111110 -01000000000011111011000000000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +11111011000000000011111011000100 +00001011101100000000001111101100 +10100100101110110000000000011110 +11001000000011111011100000000011 +00101100000000001111101110000000 +00110010110000000000111110110000 00000011111010100000000001110000 00000000000000000000000000000000 01001000000100011001110000000000 -10110111000000000010110111000000 +10110111000000000010110111001000 00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 +10010000101101110100000000101101 +11001000000010110111000000000010 +00011100000000001011111100000000 +00101001110000000000101101110000 00000010110100100000010001100000 00000000000000000000000000000000 11000000000000001001111000000000 -10110111100000000010110111100000 -00001011011110000000001011010110 -00000000101101111000000000101101 -01100000000010110111100000000010 -11011110000000001011011110000000 -00101101111000000000101101111000 +10110110100000000010110111101000 +00001011011110000000001011011110 +01000000101101111000001000101101 +11100100000010110111100000000010 +00011110000000001011011110000000 +00100001111000000000101101111000 00000010111100000000000000100000 00000000000000000000000000000000 -01001000000101001100110000000000 +01001000000101001100110000001000 10110011000000000010110011000000 -00001011001100000000001011001111 -10000001101100110000000000101100 -11100100000010110011010100000010 -11001100000000001011001100000000 -00101100110000000000101100110000 +00001011001100100000000011001100 +00000000101100110000000000101100 +11000000000010110011000000000010 +00001100000000000011001100000000 +00101000110000000000101100110000 00000010110100100000010000110000 00000000000000000000000000000000 11101000000101011010100000000000 -11111010000000000011111010000000 -00001111101000000000001111111001 -00100000111110100000000000111110 -10000000000011111110000000000011 -11101000000000001111101000000000 -00111110100000000000111110100000 +10111110100100000011111010000000 +00010111111000100000001111101000 +00000000111110100000000000111110 +10000000000011111010000000001011 +00101000000010001111101000000000 +00110010100000000000111110100000 00000011111110100000010001100000 00000000000000000000000000000000 -01001000000000001110000000000000 -11111000000000000011111000000000 -00001111100000000000001011000000 -00000000111110000000000000111110 -00000000000011111000000010000011 +01001000000000011110000000000000 +11111000000100000011111000000001 +00001111100000000001001111100000 +00000000111110000100000000111110 +00000000000011111000010000000011 11100000000000001111100000000000 -00111110000000000000111110000000 +01111110000000000000111110000000 00000011110100100000000000110000 00000000000000000000000000000000 -00001000000100001110010000000000 +00001000000100001010010000000000 11111001000000000011111001000000 -00001111100100000000001111100100 -00000000110010010000000000111110 -01000000000011110001000000000011 -00100100000000001111100100000000 +00000011100100000000001101100100 +00000000111110011010000000111100 +01000000010011001001000000000011 +11100100000000001111100100000000 00111110010000000000111110010000 00000011110000100000010000110000 00000000000000000000000000000000 10000000000001000110010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000100010010000000000101110 -01000000000010111001000000001010 -00100100000000001011100100000000 +10111001000000000010111011000000 +00001011100100000000001000100100 +00000000101110110010000000101110 +01000000000010001001010010000010 +11100100000000001011100100000000 00101110010000000000101110010000 00000010111000000000000000010000 00000000000000000000000000000000 00011000000001010010010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000100010010000000000101110 -01000000000010111001000000000010 -00100100000000001011100100000000 +10111001000000000010111001010000 +00001011000100000000001000100100 +00000000101110010000000000101110 +01100000000010001001000000100010 +11100100000000001011100100000000 00101110010000000000101110010000 00000010110001100000000001000000 00000000000000000000000000000000 -00001000000001000000010000000000 +00001000000001001000010000000000 10110001000000000010110001000000 -00001011000100000000001011000100 -10100000100000010000000000101100 -01001010010010110001001010000010 -00000100000000001011000100000000 +00001011000100100000101000000100 +10000100001100010000000000001100 +01101000000010000001000001000010 +11000100000000001011000100000000 00101100010000000000101100010000 00000010110000100000000100000000 00000000000000000000000000000000 -10111000000011010110000000000000 +10111000000011011110000000000000 11111000000000000011111000000000 -00001111100000000000001111100000 -10000010110010000000000000111110 -00001000000011111000001000000011 -00100000000000001111100000000000 +00001111100001010000001100100001 +01000000111110000000000000111110 +00000000000011001000000000000011 +11100000000000001111100001010000 00111110000000000000111110000000 00000011111011100000001101010000 00000000000000000000000000000000 10011000000111011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111110100 -00000000111110010000000000111111 -01000000000011111101000000000011 -11100100000000001111100100000000 +11111101000000000011111001000000 +00001111110100010000001111100100 +01000000111110010000000100111110 +01000100001010110001000000000011 +11100100000000001111100100000010 00111110010000000000111110010000 00000011111001100000011001110000 00000000000000000000000000000000 00011000000001011110010000000000 -11011001000000000011111001000000 -00001111100100000000001111100100 -10000000110010010000000000111110 -01001000000011001001000000000011 -11100100000000001111100100000000 -00111110010000000000111110010000 -00000011110001100000000001110000 +11111001000000000011111001000000 +00101100100100100000011100100100 +00100000111110010000000000111111 +01000010000111000101000000000011 +11100100000000001111110100000000 +01111110010000000000111110010000 +00000011111001100000000001110000 00000000000000000000000000000000 00111000000100001110000000000000 10111000000000000010111000000000 -00001011100000000000001011100000 -00000010100010000000000000101110 -00010000000010001000010000000010 -11100000000000001011100000000000 -00101110000000000000101110000000 +00001000100001000000011101100001 +00001000101110000000000100101110 +00010000000111011000000000000010 +11100000000000001011100000000010 +01101110000000000000101110000000 00000010110011100000010000110000 00000000000000000000000000000000 00001000000001011100010000000000 -10010001000000000010110001000000 -00001011000100000000001011000101 -00000000100100010000000000101100 -01000100001010000001010000000010 -11000100000000001011000100000000 +10110001000000000010111001000000 +00001001000100111000001000000100 +10100100101100110000000001101100 +01000000000010000001000000000010 +11000100000000001011000100101000 00101100010000000000101100010000 00000010110000100000000101110000 00000000000000000000000000000000 00011000000101011010010000000000 -10111001000000000010111001000000 -00001011100100000001001011100100 -01100000100110010000000000101110 -01000000000010001001000000000010 -11100100000000001011100100000000 +10111001000001000010111011000000 +00001001100100000001001001100100 +00000000101110010000000000101110 +01000000000010011001000000010010 +11100100000000001011100100000001 00101110010000000000101110010000 00000010110001100000010001100000 00000000000000000000000000000000 -10100000000101011110010000000000 -11011001000000000011111001000000 -00001011100100000000001111100111 -00000000110110010000000000111110 -01000100000011001001001000000011 +10100000000101001010010000000000 +11111001110110000011110001000000 +00011101100100100000001000100100 +00000000101110010000000000111110 +01000000000010001001000000000011 11100100000000001111100100000000 -00111110010000000000111110010000 +00101110010000010000111110010000 00000011111010000000010001110000 00000000000000000000000000000000 00101000000000011010010000000000 -11111001000000000011111001000000 -00001111100100000000001111100110 -00000000111010010000000000111100 -01100001000011111001001000000011 +11111001100000000011111001000000 +00001110000110100000001111100100 +00000000111110010000000000111110 +01000000000011111001000000000011 11100100000000001111100100000000 00111110010000000000111110010000 00000011110010100000000001100000 00000000000000000000000000000000 00101000000100001010000000000000 -11111000000000000011111000000000 -00001111100000000000001111000000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 -00111110000000000000111110000000 +11111000010000000011111000000000 +00001111100001000001001101100000 +00000000110110000000000000111100 +00000000010011001000000010010011 +00100000000000001111100000000001 +00110010000000000000111110000000 +00000011110010100000010000100000 +00000000000000000000000000000000 +00101000000001010010100000000000 +10111010000000000010111010100000 +00001011101000000001101000101000 +00000100100010100000000000101111 +10000100000011011110000001000011 +01101000000000001011101000000000 +00100010100000000000101110100000 +00000010110010100000000001000000 +00000000000000000000000000000000 +00101000000001010100110000000000 +10110011000000000010110011000000 +00001011001100000000011000001100 +00000001100000110000000000101100 +11010000000010000001000000000010 +00001100000000001011001110000000 +00100000110000000000101100110000 +00000010110010100000000001010000 +00000000000000000000000000000000 +00100000000000010001110000000000 +10110111000000000010110111010000 +00001011011100010000001000011110 +01000010100001000000000000101101 +11000010000010010101100010000010 +01011100000000001011011111000000 +00100001110000000000101101110000 +01000010111010000000000001000000 +00000000000000000000000000000000 +00101000000010000001111000000000 +10110111100000000010110111100010 +00001111001110101000001100001110 +10100000110001111001000000111101 +11100000000011000101100001000011 +00011110000000001111111110000000 +10110001111000000000111101111000 +00000011111010100000001000000000 +00000000000000000000000000000000 +00001000000111011010110000000000 +11111011000000000011111010001000 +00001111101101100000001110101101 +10000000111010110110000100111100 +11000000010011111001000000000011 +11101100000000001111101101000000 +00111110110000000000111110110000 +00000011110000100000011001100000 +00000000000000000000000000000000 +01000000000001011111111000000000 +11111111100000000011001101100000 +00001111111110000000001111111110 +00000000111111111000000100111111 +11100000101011001101100100000011 +11111110000000001111110111000000 +00110011111000000000111111111000 +00000011110100000000000001110000 +00000000000000000000000000000000 +10101000000100011001110000000000 +10110111000000000011010101000000 +00001011011100000000001011011100 +00000000101101000001000000101101 +10001000000010100100001100000010 +11011100000000001011010100000000 +00100001110000000000101101110001 +00000010111010100000010001100000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10111111000000000010000101000000 +00001011011100000000001011011100 +00000000101101010000000000101101 +11000000100010000101000000000010 +11011100000000001011010110000000 +00100001110000000000101101110000 +00000010110001000000000000100000 +00000000000000000000000000000000 +01100000000101001100110000000000 +10110011010000000010010000000000 +00001011001100000000001011001100 +00000000101100010000010000001100 +01000000001010100001000000000010 +11001100000000001011000100000001 +00100000110000000000101100110000 +00000010110110000000010000110000 +00000000000000000000000000000000 +10101000000101011010110000000000 +11111011010100000011001010000000 +00000011111100000000001111111100 +00000000111110110000000000101100 +11000000000001000011000000000011 +11101100000000001111100100000000 +10010010110000000000111110110000 +00000011111010100000010001100000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000000000011111001000000 +00001111101100000000001111101100 +00000000111110000000000100111110 +11000000000011111011000000000011 +11101100000000001111100100000000 +00111110110000000000111110110000 +00000011111001000000000000110000 +00000000000000000000000000000000 +00000001000100001111110000000000 +11111111000000000011111110100000 +00001111111100000000001111011100 +00000000110011100000000000111111 +11000000000011001111000000000011 +01111100000000001111110100010000 +00110011110000000000111111110000 +00000011111000000000010000110000 +00000000000000000000000000000000 +10000001000001000110110000001000 +10111011000000100010111000110100 +00001011101100000100001011101100 +00001000100010100000000000101110 +11000000010010001011100100000010 +00101100000000001011100100000000 +00100010110000000000101110110000 +00000010111000010100000000010000 +00000000000000000000000000000000 +10000000000001010110110000000000 +10111011000000000010111010000000 +00001011101100000001001011101100 +00000000100010110100000000101110 +11000000000010001001000000000010 +01101100000000001011001100000000 +00100010110000000000101110110000 +00000010111000000000000001000000 +00000000000000000000000000000000 +00001000000000000000110000000000 +10110011000000000010110001000000 +01001011001100000000001011001100 +00000010100000000000000000101100 +10000000000010000000000000000010 +00001100000000001011001100000000 +10100000110000000001101100110000 +00000010110000100000000100000000 +00000000000000000000000000000000 +00000000000010000110110000000000 +11111011000000000011111010000000 +00011111111100000000001111111100 +00000000110010000000000100111110 +11000000001011001001000000000011 +01101100000000001111011100000000 +00110010110000000000111110110000 +00000011111000000000001101010000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11110111000000000011111100000000 +00001111111100000000000111111100 +00000000111111000000000001111101 +01000000000011111101000000000011 +11111100000000001111111100000000 +00111111110000000000111111110000 +00000011111010000000011001110000 +00000000000000000000000000000000 +11000000000001011111011000000000 +11110111100000001011001111001100 +10011100111010000000001111111100 +01000000110011110000000000111111 +00001100000011001111000000000011 +00011110000000001100110000000000 +00110011000000000000111111010000 +00100011001100000000000001110000 +00000000000000000000000000000000 +11000000000110001110011000000000 +10001011001000000010001111001101 +00001000101010000000001011011100 +10000000100011111000000000101110 +00011100000010001001010010001010 +00101110000000001000100110000000 +00100010011000000000101110011000 +00010010001100000000010000110000 +00000000000000000000000000000000 +11001000000001011110010000000000 +10101011000010000010000011000000 +00001000001000000001001011001100 +01000001100000110001000000101100 +00000000000010000001011000001010 +00001100000000001000001100000000 +00100000010000000100101100001000 +00000010001100100000000101110000 +00000000000000000000000000000000 +11000000000001011010010000000000 +10001011000001000010001011000000 +00001000101001000000001011101100 +00000000100010110000001001101110 +10000000100010001000000010000010 +00101100000000001000101110000010 +10100010011000000000101110001000 +00000010001100000000010001100000 +00000000000000000000000000000000 +11000000000101011100011000000000 +11111011000110000011001011000000 +00001100101100000001001111101100 +00000010110010110000000000111110 +10001000000011001011010000001011 +00001100000000001100100110000000 +10110010011100100000111110011000 +00001011000101000000010001110000 +00000000000000000000000000000000 +11100000000000011011110010000000 +11111111100000000011111111000000 +00001110111100001000001111111100 +00000000111111110000000000111110 +00000010001011111110001000000011 +11111100000000001111010100000000 +00111110010000001000111100110000 +00010011111110000000000001100000 +00000000000000000000000000000000 +01000000000100001010010000000000 +11101011000000000011010011000001 +01001100101101000101001111101100 +00000000110010110000000000111100 +11000100010011001011010000001011 +00101100100000001100101100000000 +00110010110000000010110010010000 +00001011000100000000010000100000 +00000000000000000000000000000000 +11000000000001010010110000000000 +10110011000000000010001111000000 +10001000101111000000001011111100 +00000000100011110000000000101110 +11000000000010001010010100000010 +00101110001100001000101101010100 +00100010111000100000100010110101 +00000010001101100000000001000000 +00000000000000000000000000000000 +11000000000001000100110000000000 +10110010000001000010010011000000 +00001000001011000000001011101100 +00000010100100110000000000101100 +01100000000010001011000101000010 +00001101000100001000000100000010 +10100000101000000000100000000100 +00000010001110000000000001010000 +00000000000000000000000000000000 +10111000000100000101111000000000 +10111111101000010010000011100100 +00001000011110001000001011011110 +00000000100101111000000000101101 +01100000000000000011100000000010 +00011110010000001000110110010000 +00100001111101000000100001011000 +00000010001011000000000001000000 +00000000000000000000000000000000 +01001000000010000100110000001000 +11110001000000000011010011000000 +00011100001000000000001111001100 +00000000110100110001010000111100 +00000100000011000010000000000011 +00001100000000001100000100000000 +00110000110001000000110000100000 +00000011000100100000001000000000 +00000000000000000000000000000000 +11000000100101011011110000000100 +11111111001000010111111111010001 +00001111111100000000001111111100 +00000000111011110000000000111111 +10000100100011111110000100000011 +11111100000100001111010100000000 +10111111110001010000111111100001 +00000011110100000000011001100000 +00000000000000000000000000000000 +00001000000001011110010100100000 +11111011100000100011001011000100 +00001100101000010000001111101111 +10000000110010110100000000111110 +11000000000011101010001000000011 +00001100000000001100000100000000 +00110010000000000100110010010000 +00000011001010100000000001110000 +00000000000000000000000000000000 +11001000100110011001110100001000 +10111111000000000010000111000000 +10001100011100110001001011011100 +10100010100001110110100000101101 +01000000000010110010000101000011 +11011100000000001010010100000001 +00100001010000000000100001110000 +00000010001100100000010001100000 +00000000000000000000000000000000 +00100000000000001001011000001100 +10110111100000000000000111101000 +01001000011010100100001011011110 +01000000100101111000000000101101 +11100000000010100110110000010010 +00011110000001001000111110000000 +01100000111000000000101000011000 +00000010001000000001000000100000 +00000000000000000000000000000000 +01101000000001001100111000000000 +10110011010001100010000011000000 +00001000001101100000001011001100 +00000001100100110000000000101110 +11011100000010110010000000000010 +11001100000000001010001100110000 +00100000111100000000101000111110 +00000010000100100000010000110000 +00000000000000000000000000000000 +11100000000101011110100010001000 +11111110010000001011001010000000 +00001100101001000000001111101000 +00000000110110100000000000111111 +10110000000011101010110000000011 +00101000000010001100011011000000 +00110011101011010010111011101100 +00001011001110100000010001100000 +00000000000000000000000000000000 +01001000000000011010000000001000 +11111000001100000011111000000000 +01101110100000000000001111100000 +00010000111010000000000000111110 +00000000100011111000000010000011 +11100001000000001111100000000000 +10111110000000000000110110000101 +00000011110100100000000000110000 +00000000000000000000000000000000 +00001000000100001010010100000000 +11111001000000000011110001000000 +00101100100100100000011111100100 +00000000111110010000000000111110 +01000001000011001011000000001011 +00100100000000001100100100000000 +00110010010000000000110000010000 +00000011000000100000010000110000 +00000000000000000000000000000000 +10000000000000000110111100000000 +10111001000000000110111001000000 +00001000101110000000001111100100 +00000000101110010000000000101110 +01000000000010001001010000001011 +11000101000001001000100101000100 +00110000010000000000100010010100 +00000010001000000000000000010000 +00000000000000000000000000000000 +00111010000001010010010000001000 +10111001000000000010111001000000 +00001010100100000000001011100100 +00000000101110010000001000101110 +01000000000010000001010001000010 +00100110001000001000101100001000 +10100010010000000000100010010100 +00000010000001100000000001000000 +00000000000000000000000000000000 +00101000000101000000110000000000 +10110001000000100010110001001010 +00001000000100000001001010000100 +10100001101100010010100000101100 +01001000000110000001001000100010 +11000100000100001000100100100000 +00100000011010000000100000010010 +00000010000000100000000100000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11111000000000000010110000001000 +00001110100000000000001011000000 +10000000111110000010000000111110 +00010100001011001000010100100011 +00100000000000001100100000000010 +00110010000000000000110010000000 +00001011001011100000001101010000 +00000000000000000000000000000000 +11011000100101011110010000001000 +11111111001010000011111001001010 +00001111100100000000001111100100 +10100000101110010000000100111111 +01000101100011111001000100000011 +11100100000000101111110100010001 +00111011010001000010111111010001 +00000011111001100000011001110000 +00000000000000000000000000000000 +00011000000001011110010000000000 +11111001000000100011001001000100 +00100100100100000100001111100100 +00110010110010010000000000110011 +01000010000011111101000000000011 +00010100000000101100110100100001 +00110011010000010000111111010010 +00000011110001100000000001110000 +00000000000000000000000000000000 +01111000000110001010000000000000 +10111000000000000011001000010100 +00011000100000000000001011000001 +10000000100010000100001000110110 +00010000000010110000000001001010 +10100000000001001000100001000000 +00100010000100000000101110000100 +00010010110011100000010000110000 +00000000000000000000000000000000 +01001000000001001000010000000000 +10110001001010000000000001001000 +00001010000100000000001011000100 +00100000100000010100000000100000 +01001010000110110001011010000010 +00001100000000001000000101000000 +00100000010100000100101100010001 +00000010110100100000000101110000 +00000000000000000000000000000000 +00011000000001001010110000001100 +10110001000000000010011001000000 +00001010100100000000001011100100 +00000000100010010000000000100110 +01000000000010111001001000000010 +10100100000000001000100101010000 +10100010111000000000101110010100 +00000010110001100000010001100000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11111001100000001011001001000000 +00001010100100000000001111100100 +00000000110010010000000000110010 +01100010000011111001001000001111 +00000100000001001100100100000010 +00110010011000100000111110010000 +00000011111010000000010001110000 +00000000000000000000000000000000 +01001000000000011010010000000000 +11111001110000000011100001000001 +00101101100100001100001111100100 +00000010111100010000000000111110 +01001000000011111001000000000011 +11100100000010001111000110000000 +10111110010000010000111110010010 +00000011110110100000000001100000 +00000000000000000000000000000000 +01001000000100001010000000000000 +11111000010000000011011000000000 +00001111100000000000001111100000 +00010000110010000000001100111110 +00000000010011111000010110000011 +00100000000000001100100000000000 +00111110000100000000111110000000 00000011110010100000010000100000 00000000000000000000000000000000 -00101000000001010010100000000000 -10111010000000000010111010000000 -00001011101000000001001011101000 -00000000101110100000000000101110 -10000000000010111010000000000010 -11101000000000001011101000000000 -00101110100000000000101110100000 -00000010110010100000000001000000 +00101000000001010010101110001000 +10111010000000000010001010000000 +00000011101010000000001011101000 +00000000100010100000000001101111 +10000010000010111110100000000010 +10111000000000001000111000000000 +00101111100110000000101111100000 +00000011100010100000000001000000 00000000000000000000000000000000 -00101000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 +01101000000001010100111010001000 +10110011000000000010010011000001 +00000011001100000000001011101100 +00000111100100110000000000101100 +11100000000010111001110000000010 +00000100000000101000000110010000 +00101100101010000000101100111010 00000010110010100000000001010000 00000000000000000000000000000000 -10100000000000010001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010000000000001000000 +10000000000100010001110000000000 +10111111000000000010010111001000 +00001011011100001000001011011100 +01000000100101110000000000101101 +01000000000010110100000000000010 +10010100000001001000010100000000 +00101101110000000000101101110100 +00000010101010000000000001000000 00000000000000000000000000000000 -10101000000010000001111000000000 -11110111100000000011110111100000 +10001000100010000001111000000100 +11110111101100000111010111110100 00001111011110000000001111011110 -00000000111101111000000000111101 -11100000000001110111100000000011 -11011110000000001111011110000000 -00111101111000000000111101111000 +10000000110101111000000000101101 +11100000000011110100100000001011 +00011110000000001100010110000000 +00111101111000000100111101101000 00000011111010100000001000000000 00000000000000000000000000000000 -00001000000111011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000001 -00111110110000000000111110110000 +00001000000101011010110110110000 +11110011000000000011101011010000 +00001111100101101000001111101100 +00100000100010110000000000111110 +01000000000011111001000000000011 +11100100000100001111100000000000 +00111110110000000000111110100000 00000011110000100000011001100000 00000000000000000000000000000000 -00000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111011111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 -00111111111001000000111111111001 -00000011110000000000000001110000 +00000000000101001011011000000000 +11111111100010000011101111100000 +00011111110010010000001100111110 +00000000110011111000000000111111 +11100000000011111101100001000011 +00110110000000001100111110000000 +00110011101000000000110001101000 +00000011000000000000000001110000 +00000000000000000000000000000000 +10101001000100001001010001100000 +10110111000000000010000111000000 +00001011011100000001001101011100 +01000000100001110000000000101101 +11000010000010111110000000000010 +00010100010011001000011100010000 +00100001110000000000100001100001 +00000010001010100000010001100000 00000000000000000000000000000000 -10101000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 +00000000000000001001100000000000 +10111111010000000010100111000100 +00001011110000000010001000001100 00000000100001110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010100000010001100000 -00000000000000000000000000000000 -00000000000000001001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101001110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 -00101101110000000100101101110000 -00100010110000000000000000100000 -00000000000000000000000000000000 -00100000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001111 -01000000000000110000000000101100 -11000100000010110011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110010000000010000110000 -00000000000000000000000000000000 -10101000000101011010110000000000 -11111011000000000011111011000000 -00001111101100000000001011101101 -00000000101010110000000000111110 -11000000000011111011110010000011 -11101100000000001111101100000000 -00111110110000000000111110110000 -00000011111010100000010001100000 +11000100000010110100000000000010 +00011100000000101000011101000000 +10100001110100000000100001100000 +00000010000000000000000000100000 +00000000000000000000000000000000 +00100000000101001000100000001000 +10110011000000000010001011000000 +10001011000100000000001001001100 +00000000100000110000000000101100 +11000000100010111011001000001010 +00000100000000001000001000000001 +00100000110000000000100000100100 +00000010000010000000010000110000 +00000000000000000000000000000000 +10101000000100011010100000000000 +11111111000000000011101111000000 +00001011101101000000001100111100 +00000010110010110000000000111110 +11100000000011111011110000001011 +00000100000000001100001101000000 +00110010100100000000110010111100 +00000011001010100000010001100000 00000000000000000000000000000000 -10000000000000001110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000010000011 -11101100000000001111101100000000 -00111110110000000000111110110000 +10000000000000001110100100000000 +11111011100000000011111011000000 +10001011101100000000001111101100 +00000100111100110000001000111110 +00010000000011111010010100000011 +11100100000001001111101100000010 +00111110110000000010111110111000 00000011111000000000000000110000 00000000000000000000000000000000 -00000001000100001111110000000000 -11111111000000000011111111000000 -00001111111100000000001111001100 -00100000110011110000000000111101 -11000000000011001111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 -00000011110000000100010000110000 -00000000000000000000000000000000 -10000001000001000110110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000100010110000000000101110 -11000000001010001011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111000000100000000010000 +00100001000100000111010010000000 +11111111000000000011001111000000 +10000000111100001100001111011100 +00000000100011110000000000110011 +11000100010011001110000100000011 +00111100000000001100101100000000 +00110011110000000000111111110000 +10000011110000000100010000110000 00000000000000000000000000000000 -10000000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000100010110000000000101110 -11000000000010001011000000000010 -11101100000000001011101100000000 -00101110110000000000101110110000 -00100010111000000000000001000000 +10100001000001000110010100000000 +10110011000000001010001011000001 +01001100100100000000001011101100 +00000000100010110000000000100010 +00100000001010001011001000000010 +10100000000100001101101000000000 +00100010111000000000101110100101 +10000010111000000000000000010000 +00000000000000000000000000000000 +10000000000001010010000000000000 +10111011000000000010001011000000 +00001010100000000001001011101100 +00000000101010110000000001100000 +01010000010010001011000000000010 +00100110000000001000101100100000 +00100010011000000000101110000000 +00000010111000000000000001000000 00000000000000000000000000000000 -00001000000001000000110000000000 -10110011000000000010110011000000 +00001010000101000000000000000000 +10111011000000010010000011000000 00001011001100000000001011001100 -00000010100000110000000000101100 -11000000000010000011000000000010 -11001100000000001011001100000000 -00101100110000000000101100110000 +00000010101000110000000000100000 +00000000110010000011000000001000 +10000110000000001001001100000000 +00100000110000000000101100000000 00000010110000100000000100000000 00000000000000000000000000000000 -00000000000011010110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000110010110000000000111110 -11000000000011001011000000000011 -11101100000000001111101100000000 -00111110110000000000011110110000 +00000000000011010110000000000000 +11111111000000000011001111000000 +00001010100000000010001111111100 +00000000111010110000000000110010 +01000000000011001011000000000011 +00100100000000001100101100000000 +00110010010000000000111110000000 00000011110000000000001101010000 00000000000000000000000000000000 -10100000000111011111110000000000 -11111111000000000011111111000000 -00001111111100000000011111111100 -00000001111111110000000000111111 -11000000000011111111000000000011 -11111100000000001111111100000000 -00111111110000000000111111110000 +10100010000101011111000000000000 +11110111000000000011111111000000 +00011100010100000000001111111100 +00000000110111110000011000111111 +00000000000011111111000000000011 +11010000000000001111111000000000 +00111101110000000000111111000000 00000011111010000000011001110000 00000000000000000000000000000000 11000000000000011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111111100000000011 +11110100100000000011111111100000 +00001111111100100000001111111100 +11000000110011110000000000110011 +11100000000011001111100000000011 11111110000000001111111110000000 00111111111000000000111111111000 -00000011111100000000000001110000 +00100011111100000000000001110000 00000000000000000000000000000000 10000000000100001110111000000000 -10111011100000000010111011100000 -00001011101110000000001011101110 -00000000101110111000000000101110 -11100000000010111011100000000010 -11101110000000001011101110000000 +00111000100000000010111011100001 +00001011111101001001001011111101 +00000100100011111101000000100010 +11100000000010101011000000000010 +11001100000000001011101110000000 00101110111000000000101110111000 00000010111000000000010000110000 00000000000000000000000000000000 10001000000001011100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 +10110000000000000010110011000000 +00001011001100110000001011001100 +01000000100000110000000100100010 +11000001000010000011000000000010 11001100000000001011001100000000 00101100110000000000101100110000 00000010111000100000000101110000 00000000000000000000000000000000 11000000000101011010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -11000000000010111011000000000010 +10111010010000000010111011000000 +01001011101100000000001011101100 +00010000100010110000000000100010 +11000000000010101011000000000010 11101100000000001011101100000000 00101110110000000000101110110000 00000010111100000000010001100000 00000000000000000000000000000000 01000000000101011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 +11111001000000000011111011000000 +00001111101100000001001111101100 +00000010110010110000000000110000 +11000000000011001011000000000011 11101100000000001111101100000000 00111110110000000000111110110000 -00000010110100000000010001110000 +00000011110100000000010001110000 00000000000000000000000000000000 11100000000000011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 +11111101000100000011111111000000 +00001111111100000000001111101100 +00000000111110110000000000111111 11000000000011111111000000000011 11111100000000001111111100000000 -00111111110000000000111111110000 +00111111110000010000111111110000 00000011111110000000000001100000 00000000000000000000000000000000 01000000000100001010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 +11111000000000000011001011000000 +00001111101100000001001111101100 +00000010110000110000000000110010 +11001000000011111011000000000011 +11101100000000001100101100000000 00111110110000000000111110110000 00000011110100000000010000100000 00000000000000000000000000000000 11001000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000111110 -11000000000010111011000000000010 -11101100000000001011101100000000 +10111010000000001010001011111100 +00001011111100000000001011111100 +00000000100011110000000000110110 +11010000000010111011000000000010 +11101100000000101000101100000000 00101110110000000000101110110000 -00000011101100100000000001000000 +00000010111100100000000001000000 00000000000000000000000000000000 11100000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 +10110010000000000010000011100000 +00001011001100000000001011101100 +00000000100000110000001000100100 11000000000010110011000000000010 -11001100000000001011001100000000 +11001100000000001000001100000000 00101100110000000000101100110000 00000010111110000000000001010000 00000000000000000000000000000000 00100000000000010001111000000000 -10110111100000000010110111100000 +10111110100000000010000111100000 00011011011110000000001011011110 -00000000101101111000000000101001 +00010000100001111000000000100101 11100000000010110111100000000010 -11011110000000001011011110000000 +11011110000000001000011110000000 00101101111000000000101101111000 00000010110010000000000001000000 00000000000000000000000000000000 01001000000010000000110000000000 -11110011000000000011110011000000 +11110001000100000011000011000000 00001111001100000000001111001100 -00000000111100110000000000101100 +00000000110000110000000000110100 11000000000011110011000000000011 -11001100000000001111001100000000 +11001100010000001100001100000000 00111100110000000000111100110000 00000011110100100000001000000000 00000000000000000000000000000000 01000000000111011011110000000000 11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 +00001111111101000011001111111100 +00001000111111110000100000111111 11000000000011111111000000000011 11111100000000001111111100000000 00111111110000000000111111110000 -00000011100100000000011001100000 +00000011110100000000011001100000 00000000000000000000000000000000 10101000000001011110110000000000 11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 +00001111101100010000001011101101 +10000000111110110010010100111110 +11000100010011111011000000000011 11101100000000001111101100000000 00111110110000000000111110110000 00000011111010100000000001110000 00000000000000000000000000000000 01001000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 +10110111000000000010110111011000 +00001011011100100000001011011101 +00110000101101110000100000101101 11000000000010110111000000000010 11011100000000001011011100000000 00101101110000000000101101110000 00000010110100100000010001100000 00000000000000000000000000000000 11000000000000001001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 +10110101100000000010110111100000 +00001011011110000010011011011110 +00000000101101111010000001101101 11100000000010110111100000000010 11011110000000001011011110000000 00101101111000000000101101111000 00000010111100000000000000100000 00000000000000000000000000000000 01001000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 +10110011010000000010110011000000 +00001011101100000000001011001100 00000000101100110000000000101100 -11000000000010110011000000000010 +11000000010010110011000000000010 11001100000000001011001100000000 00101100110000000000101100110000 00000010110100100000010000110000 00000000000000000000000000000000 11101000000101011010100000000000 -11111010000000000011111010000000 -00001111101000000000001111101000 +11110110010000000011111010000000 +10001111101000000000001111101000 00000000111110100000000000111110 -10000000000011111010000000000011 +10000000000001111010000000000011 11101000000000001111101000000000 00111110100000000000111110100000 00000011111110100000010001100000 00000000000000000000000000000000 01001000000000001110000000000000 -11111000000000000011111000000000 -00001111100000000000001101100000 +11111000000010010011111000010000 +00001111100000000000001111000000 00000000111110000000000000111110 00000000000011111000000000000011 11100000000000001111100000000000 @@ -6738,99 +6306,99 @@ Bits: 336768 00000011110100100000000000110000 00000000000000000000000000000000 00001000000100001110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 +11111001000000000011001001100000 +00001111100100000000001100100100 +00000000110010010000000000111110 01000000000011111001000000000011 -11100100000000001111100100000000 +00100100000000001111100100000000 00111110010000000000111110010000 00000011110000100000010000110000 00000000000000000000000000000000 10000000000001000110010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 +10111001000000000010001011000000 +00001011100100000001001000100100 +00000000100010010000000000101110 +11100000000010111001000000000010 +00100100000000001011100100000000 00101110010000000000101110010000 00000010111000000000000000010000 00000000000000000000000000000000 00011000000001010010010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000000010 -11100100000000001011100100000000 +10111001000000001010001001000100 +00001011100100000000001000100100 +00000000100010010000010000101110 +01001000000010110001000000000010 +00100100000100001011100100000000 00101110010000000000101110010000 00000010110001100000000001000000 00000000000000000000000000000000 00001000000001000000010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000010010110001000000000010 -11000100000000001011000100000000 +10111001000000000010000001000000 +00001011000100100000101000000100 +10000000100000010010000100101100 +01000000000010110001000000010010 +00000100000000001011000100000000 00101100010000000000101100010000 00000010110000100000000100000000 00000000000000000000000000000000 -10111000000011010110000000011000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 +10111000000011010110000000000000 +11111000000000000011001010000000 +10001111100001010000001100100001 +01000000110010000000000000111110 +00000000000011111000010100000011 +00100001010000001111100000000000 00111110000000000000111110000000 00000011111011100000001101010000 00000000000000000000000000000000 -10011000000111011110010000000000 -01111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 +10011000000111011110010000010000 +11111101000000000011111001000001 +00001111100100010000001111100100 +01000010111110010001000000111110 +01000000000011111001000000001011 11100100000000001111100100000000 00111110010000000000111110010000 00000011111001100000011001110000 00000000000000000000000000000000 00011000000001011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 -11100100000000001111100100000000 +11111001000000000011110001000000 +00001111100100100000001110100100 +00000000110010010010000000111110 +01000000100011111001010000000011 +11100100010000001011100100000000 00111110010000000000111110010000 00000011110001100000000001110000 00000000000000000000000000000000 00111000000100001110000000000000 -10111000000000000010111000000000 -00001011100000000000001011100000 -00000000101110000000000000101110 -00000000000010111000000000000011 -10100000000000001011100000000000 +10111010000000000010111000000000 +00001011100001101000001000100000 +10100000100010000000000000101110 +00000000000010111000001000000010 +11100000000000001011100000000000 00101110000000000000101110000000 00000010110011100000010000110000 00000000000000000000000000000000 00001000000001011100010000000000 10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010110001000000000010 -11000100000000001011000100000000 +00001011000100010000001000000101 +00001001100000010100000000101100 +11000000000010110001001000000010 +11000100100000001011000100000000 00101100010000000000101100010000 00000010110000100000000101110000 00000000000000000000000000000000 00011000000101011010010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 +10111001000100000010111001000000 +00001011100100000000011000000100 +00000001100010010000000000101110 01000000000010111001000000000010 -10100100000000001011100100000000 +11100100000000001011100100000000 00101110010000000000101110010000 00000010110001100000010001100000 00000000000000000000000000000000 10100000000101011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 +11111001110000000011111001000000 +00001111100100000000101100100100 +00000000110010010000000000111110 01000000000011111001000000000011 11100100000000001111100100000000 00111110010000000000111110010000 @@ -6838,216 +6406,648 @@ Bits: 336768 00000000000000000000000000000000 00101000000000011010010000000000 11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 +01001111000100000000001101100100 +00000010111110010000010000111110 +01000000000011111001000000100011 11100100000000001111100100000000 00111110010000000000111110010000 -00010011110010100000000001100000 +00000011110010100000000001100000 00000000000000000000000000000000 00101000000100001010000000000000 11111000000000000011111000000000 -00001111100000000000001111100000 +00101100100000000000001111100000 00000000111110000000000000111110 -00000000000011111000000000000011 -11100000000000001111100000000000 +00000001000001111000000000000011 +00100000000000001111100000000000 00111110000000000000111110000000 00000011110010100000010000100000 00000000000000000000000000000000 00101000000001010010100000000000 -10111010000000000010111010000000 -00001011101000000000001011101000 +10111010000000000010111010101000 +00001000101000000000001011101000 00000000101110100000000000101110 10000000000010111010000000000010 -11101000000000001011101000000000 +00101000000000001011101000000100 00101110100000000000101110100000 00000010110010100000000001000000 00000000000000000000000000000000 00101000000001010100110000000000 10110011000000000010110011000000 -00001011001100000000001011001100 +00001001001100000000001011001100 00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 +11001000000010110011000000000010 +00001100000000001011001100000000 00101100110000000000101100110000 00000010110010100000000001010000 00000000000000000000000000000000 10100000000000010001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 +10110111000000000010111110100000 +00001001011100100110001011011100 +01000100101101110000000000101101 +11000001100010110111101000000010 +00011100100000001011011100000000 00101101110000000000101101110000 00000010111010000000000001000000 00000000000000000000000000000000 10101000000010000001111000000000 -11110111100000000011110111100000 -00001111011110000000001111011110 -00000000111101111000000000111101 -11100000000011110111100000000011 -11011110000000001111011110000000 +11110111100000000011110111100010 +00001101011111100000001111011110 +00000000111101111000010000111101 +11100000000011111111101000000011 +00011111110000001111011110000000 00111101111000000000111101111000 00000011111010100000001000000000 00000000000000000000000000000000 00001000000111011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 +11111011000000000011111001001000 +00001110101100000110001111101100 00000000111110110000000000111110 -11000000000011111011000000000011 +11011010000011111011010000001011 11101100000000001111101100000000 00111110110000000000111110110000 00000011110000100000011001100000 00000000000000000000000000000000 00000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111111100000000011 -11111110000000001111111110000000 +11111111100000000011111101100000 +10000111111111010000000000111111 +01000000111111111000000000111111 +01100000000011111111110010000011 +11111110000000001100111110000000 00111111111000000000111111111000 00000011110000000000000001110000 00000000000000000000000000000000 10101000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 +10110111000000000010110100000000 +00001011011100010000001000011100 +01000000101101110001000000101101 +00010000000010110111000000000010 +11111100000000001000011100010000 00101101110000000000101101110000 00000010111010100000010001100000 00000000000000000000000000000000 00000000000000001001110000000000 10110111000000000010110111000000 -00001011011100000000001011011100 +00001011011100010010011000011100 00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 +11000100000010110111000000000010 +11011100000000001000011100000000 00101101110000000000101101110000 00000010110000000000000000100000 00000000000000000000000000000000 00100000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000000000010 -11001100000000001011001100000000 +10110011100000000010110001000000 +00001011001100000000001000001100 +00000000101100110000000100101100 +10000000000010110011000000000010 +11001100000000001000001100000000 00101100110000000000101100110000 00000010110010000000010000110000 00000000000000000000000000000000 10101000000101011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 +11111011101000000011111011000000 +00001111111100000000101100111100 00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 +10000000000011111111000000000010 +11111100000000001100101100000000 00111110110000000000111110110000 00000011111010100000010001100000 00000000000000000000000000000000 10000000000000001110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 +11111011000000000011111010000000 +00001111001100000000001111101100 00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 +10000000010011111011000000000011 +11101100000000101111101100000000 00111110110000000000111110110000 00000011111000000000000000110000 00000000000000000000000000000000 00000001000100001111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -11000000000011111111000000000011 +11111111000000000011111110000000 +00001111111100000000001100111100 +00010000111111110000000000111111 +00000000000011111111000000000011 11111100000000001111111100000000 00111111110000000000111111110000 00000011110000000100010000110000 00000000000000000000000000000000 10000001000001000110110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 +10111011000000000010111000011000 +10001011101100000000001000101100 00000000101110110000000000101110 -11000000000010111011000000000010 +00010000000010111011000000000010 11101100000000001011101100000000 00101110110000000000101110110000 00000010111000000100000000010000 00000000000000000000000000000000 10000000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 +10111011000000000010111001000000 +00001011101100000000001000101100 00000000101110110000000000101110 -11000000000010111011000000000010 -11101100000000001011101100000000 +01100000000010111011000000000010 +11101100000000001010101100000000 00101110110000000000101110110000 00000010111000000000000001000000 00000000000000000000000000000000 00001000000001000000110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 +10110011000000000010110000000000 +01001011001100000000001000001100 00000000101100110000000000101100 -11000000000010110011000000000010 +00000000000010110011000000000010 11001100000000001011001100000000 00101100110000000000101100110000 -00000010110000100000000100000000 +00000110110000100000000100000000 00000000000000000000000000000000 00000000000011010110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 +11111011000000000011111010000000 +00001111011100000000101100111100 00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000000001111101100000000 +01000000000011111111000000000011 +11111100000000001110101100000010 00111110110000000000111110110000 00000011110000000000001101010000 00000000000000000000000000000000 10100000000111011111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 +11111111000000000011111100000000 +10001111111100000010001111111100 00000000111111110000000000111111 -11000000000011111111000000000011 +00000000010011111111000000000011 11111100000000001111111100000000 00111111110000000000111111110000 00000011111010000000011001110000 00000000000000000000000000000000 +11000000000000011111111001000000 +11101111100000000011001100100000 +00001111110010000000001101111100 +10000000110011001000000000111111 +00001100000011001101100000000011 +00110110000000101100111000100100 +00110001111000000000111111011001 +00100011001100000000000001110000 +00000000000000000000000000000000 +10000000000000001100110000000000 +10001011001000000011001001100000 +00001011100110000000001011111110 +00000000100010001000001000101110 +00011100000010001001000000000010 +00100100001000001000100111000000 +00100010110000000000101110010000 +00000010001000000000010000110000 +00000000000000000000000000000000 +10001000000001011100110000000000 +10100011000010001110000000000000 +00001011000000000000001001001101 +00000000100000000000000000101100 +01000000000010001001000000001010 +00100100100000011010000100010000 +10100000110000000000101110110010 +00000010101000100000000101110000 +00000000000000000000000000000000 +11000000000101011000110000000000 +10001001000000000010011011000000 +00001011101100010000001011101100 +00000000100010000000000000101110 +11000000000010001001000100000010 +00101111000000011010100110000000 +00100010110000000000101110110000 +00000010101100000000010001100000 +00000000000000000000000000000000 +01000000000100011110110000000000 +11101011100100100011001010000000 +00001111100000100000001101001100 +00000010110010100010000000111110 +10010000000001000001100000000010 +00000110000000001110100010000000 +00100010110000000000111100010000 +00001011100100000000010001110000 +00000000000000000000000000000000 +11100000000000011011110000000000 +11111111000000000010101100000000 +00001111110100000000001111111100 +00000100111111100000000000111111 +00000000001011111101100000000011 +11110100000000001101000100000001 +00111111110000001000111111010010 +00010011011110000000000001100000 +00000000000000000000000000000000 +01000000000100001010110000000000 +11011011000000000111111011000100 +00001110100001000000011111101100 +00000000110010110000000000110000 +11001000001011001001000000001011 +00101101000000001100100100000000 +00110010110011000000110010111000 +00000011110100000000010000100000 +00000000000000000000000000000000 +11001000000001010010110000000000 +10001011000000000010111011100000 +00001011101100000000001111111101 +11010000100010110000000000110110 +11011000000010001001110110000011 +00101110001000100000100101010000 +00111010111000001010100010110000 +00000010111100100000000001000000 +00000000000000000000000000000000 +11100000000001010100110000000001 +10011011000000000010110000000000 +00000010001000000000011011001110 +00000101100000010000000000100000 +00000000000110100001000000000010 +00000010000000000001000010000000 +00100000111100000000100000110000 +00000000111110000000000001010000 +00000000000000000000000000000000 +00100000000100010001111001000000 +10000111100100000010110101100001 +01011011011010000000011010001110 +00000001100011011000000000100101 +01100000000010100111100000000010 +01010110010000001001010110000000 +00101101111001000000100001111100 +00000010110010000000000001000000 +00000000000000000000000000000000 +01001000000000000000110000000000 +11010011000100000010110000000100 +00001110000100000000001011001100 +00000010110000010000000000110010 +00000000000001101001000000000011 +00101100000000001101000100001000 +00110000110001000000110000110000 +00000011110100100000001000000000 +00000000000000000000000000000000 +01000000000101011011110000000000 +11111111000100000011111111000000 +00001111111100000000001111111100 +00000000111101010000000000111111 +11000000000011011111000100000011 +10111100000000001110110100000000 +00111011110001000000111111110001 +00000011110100000000011001100000 +00000000000000000000000000000000 +10101000000001011110110000000000 +11101011100000000011001010000000 +00001111101010000001001100101101 +00001000111110100000000000111110 +10000000010011001011000000000011 +00100000000000101100100010000000 +10110010111000000000110000110000 +00000011001010100000000001110000 +00000000000000000000000000000000 +01001000000100011011110000000000 +10000111000000000011010100000000 +00001011011000000100101000011100 +01000000101101100000100000101101 +01000000000010000111000000000010 +00110100000000001000010100000000 +00100001110000000000100001110000 +00000010000100100000010001100000 +00000000000000000000000000000000 +11000000000000001001111000000000 +10101111100000000010010111100000 +00001011110110000000001000011110 +01000000101101111000000000101100 +10100000000010000111100000000110 +00011110001000001000000110000000 +00100001111000000000100101111000 +00000010001100000000000000100000 +00000000000000000000000000000000 +01001000000101001110110000000000 +10000011000100000010010011110000 +00001011001100000001001000001100 +00000000101100111100000000101110 +11000100001010001011000000001010 +00101100000000001000000110000000 +00100000110000000000100100110000 +00000010000100100000010000110000 +00000000000000000000000000000000 +11101000000101011010100000000000 +11101010000001000011011110000010 +00001111111000000000001100101000 +00000000111110101010000000111111 +10100000000011001010001000001011 +00111000000000001100111000100000 +00110010100000000010110110100000 +00001011001110100000010001100000 +00000000000000000000000000000000 +01001000000000001110000000000000 +11111000000000010011111000001000 +00001111100000000001001111100000 +00010000111110000000000000111110 +00000000000011111000000000000011 +11100000000000001111100001000000 +00111110000100000000111010000000 +00000011110100100000000000110000 +00000000000000000000000000000000 +00001000000100001110010000000000 +11001001000000100011111001000000 +00001111100100000000000111000100 +00000000110010110000100000111110 +01000000000011001001000000000011 +01100111000000001100100111000000 +00111110011001000000111110010000 +00000011000000100000010000110000 +00000000000000000000000000000000 +10000000000001000110010000000000 +10001001000001000000111001000000 +00001011100101001000001011100100 +00001010100010010000000000101110 +01010000000010001001000000000010 +00100101000010101010100101000000 +00101110011110000000101110010000 +00000010001000000000000000010000 +00000000000000000000000000000000 +00011000000001010010010000000010 +10001001000000010010111001000000 +00001011100100000000001011100100 +00000000100010010000000100101110 +11000010000010001001000000000010 +01100101000000001000100101000000 +00101110010000000000101100011000 +00000010000001100000000001000000 +00000000000000000000000000000000 +00001000000001000000010000000000 +10000001000000000010110001000000 +00001011000100000000001011000100 +10100100100000010000000000101100 +01001000001010000001000000000010 +00000100000000001010000100100000 +00101100010000000001101100011000 +00001010000000100000000100000000 +00000000000000000000000000000000 +10111000000011010110000000000000 +11001000000000000011111000000000 +00001111100000000000001111100000 +10000000110010000000000000111110 +00010100000011000000010100000011 +01100001010000001100100000000001 +00111100000101000000111110000101 +00000011001011100000001101010000 +00000000000000000000000000000000 +10011000000111011110010010100010 +11110101001010000111111101000000 +00001111010100000000001111100100 +00000000111110010000000000111111 +01000100000011111101000000000011 +11110100000000001111110100010010 +00111110010000000000111111010000 +00000011111001100000011001110000 +00000000000000000000000000000000 +00011000000001011110010000000000 +11101001000000000011001001000000 +00001111100100000000101100100100 +00100000110010010000000000111111 +01000100000011001101010000000011 +11110100010000001100110100000000 +00110011010100000000111111010100 +00000011000001100000000001110000 +00000000000000000000000000000000 +00111000000100001100000010001000 +10001000000000000010001000000000 +01001011100000000000001000100001 +00001000100010000000000000101110 +10010100100010000000000000000011 +00100000000000001101100001010010 +00110110000000000000101100000000 +00001010000011100000010000110000 +00000000000000000000000000000000 +00001000000001011100010000100000 +10100001001010000010000001100000 +00001011100100000000001000000100 +00000000100000010000000000101100 +01001000000010000001001000000010 +10001100100000001000000100000000 +00100100010010000001101100010010 +00000010000000100000000101110000 +00000000000000000000000000000000 +00011000000101011000010000001000 +10000001000000000010001001000001 +00001011100100101000000000100100 +00000000100010010000000000101100 +01000000100010000001000000000010 +10100101000000001001100101000000 +00100110010000000000001110010001 +00000010000001100000010001100000 +00000000000000000000000000000000 +10100000000101011110010000000000 +11101001000000001011001001100000 +00001111100110000000000100100100 +00000010110010010000100000111110 +01100000001011001001000000001011 +10100101000000001100100110010000 +00110110010000000100111110011000 +00000011001010000000010001110000 +00000000000000000000000000000000 +00101000000000011010010000000000 +11111001110000000011111011110000 +00001111101110000010001111001100 +00000100111110010000000000111110 +01001000000011111001000000000011 +01100110010010001111000110001000 +00111110010000100000111110010000 +10000011110010100000000001100000 +00000000000000000000000000000000 +00101000000100001010000000000000 +11001000000000000011111000100000 +00001100100000001000001111100000 +00010000111110000100000000111110 +00000000000011001000000000001011 +00100001000000001100100001000000 +00111110000000000000110010000000 +00001011000010100000010000100000 +00000000000000000000000000000000 +00101000000000010010100000000000 +10001010000000000010111010000000 +00001100001000000000001011101010 +00000000101110100000000000101111 +10001000000010001110000000001000 +00111000000010101000111001100000 +00101111100110000000100001101000 +00000010000010100000000001000000 +00000000000000000000000000000000 +00101000000001010100110000000010 +10000011000000000010110011100000 +00101001001101000000001011001110 +00000000101100110000000000101100 +11100000000010000001000100000000 +00100100000000001000001101000000 +00101100111100000000101100110100 +00000010000010100000000001010000 +00000000000000000000000000000000 +10100000000000010001110000000000 +10000111000000000010111111100010 +00001000011100000000001011010000 +00100000101101110000000000101101 +01000000000010000101001000000010 +00010100000000001000010100000000 +00101101111000000000100101110000 +10000010001010000000000001000000 +00000000000000000000000000000000 +10101000000010000001111111000000 +11000111101100010011110111100000 +01001101011110010000001111011110 +00110000111101111000000100111111 +10100000001011000101101000001011 +00110110000000001100011110000000 +00111111011000000010111101111000 +00000011001010100000001000000000 +00000000000000000000000000000000 +00001000000111011010110010000010 +11111011000000000011110011011000 +00001111101100100000001111100000 +00000000111110110000000000111110 +00000000010011111001000100000011 +11100100000000001111100100000000 +00111110010110100000111010100000 +00000011110000100000011001100000 +00000000000000000000000000000000 +00000000000001011111111000000000 +11101111100010010011001110110000 +00001111111010000000001111111110 +00000000110011111000000000111111 +11100000000011111111100000000011 +00110110000000001100111110010000 +00110011111000000000110001101000 +00000011000000000000000001110000 +00000000000000000000000000000000 +10101000000100011011110000000000 +11010111000000000011010100000100 +00001011011000001000001011010000 +01000000100001110000000000101101 +11000000000010110111001010000010 +00110100000010001000010100000000 +00110101110010000000100001100000 +00000010001010100000010001100000 +00000000000000000000000000000000 +00000000000000001001110000000000 +10101111000000000010000110010000 +00001011011001000000001011011100 +00000000100101110000000000101101 +10010000000010111111000000000010 +00010100000000001010011100001001 +00100001010000000001100001100000 +00000010010000000000000000100000 +00000000000000000000000000000000 +00100000000101001100110000000000 +10010011000000000010010000000100 +00011011001000000010001011000000 +00000000100100110000000000101110 +10100000100010110011100000000010 +00100100000000101010000011000000 +00100110010000000000100000010000 +00000010010010000000010000110000 +00000000000000000000000000000000 +10101000000101011011110000000000 +11101111100000000011001001010000 +00001111100101000100001111101100 +00000110110110110000001000111110 +11101000000011110100000000001011 +00100101000000001110001110000000 +00110010110000000000110010110000 +00001011011010100000010001100000 +00000000000000000000000000000000 +10000000000000001110110000000000 +11111011000100000011111001000000 +00001111100101000000001111101000 +00000100111010110000000000111110 +00000000000011111001000000000011 +11100111000000000001100100000000 +00111110010100000000111110110000 +00000011101000000000000000110000 +00000000000000000000000000000000 +00000001000100001111110000000010 +11001111000000000011001101000000 +00001100110000000000000011101100 +00000000111111110000000000111111 +11000000000011001100001000100011 +00110000001000001101101100001001 +10110011010000000000110011110000 +00000011110000000100010000110000 +00000000000000000000000000000000 +10000001000001000110110000000000 +10100011000000001010000001000000 +00001000100011001001001011101010 +01000100101110110000000000101110 +00011000000010001001000000000011 +01100010100000101000100110000000 +00100010011000000000100010100000 +00000010111000000100000000010000 +00000000000000000000000000000000 +10000000000001010000110000000000 +10001011000000000010001010100000 +00101000100100100000001011100100 +00000000101110110000000000101110 +01000100010010001010010000000010 +00100110000000001001101110000000 +00100010111100000000100010100000 +00000010111000000000000001000000 +00000000000000000000000000000000 +00001000000001000000110000000000 +10101011000000000010001000000000 +01001000000100000001001011000000 +00000000101100110000000000101100 +00000000100010000001000000000010 +01000100000000001000000100000000 +00100000110000000000100000100000 +00000110110000100000000100000000 +00000000000000000000000000000000 +00000000000011010111110000000000 +11001111000000000010001010000000 +00001100100000000000001111100100 +00000000111110110000000100111110 +01000000001001001010000000000011 +00101000000000001101100100000001 +00110001010000000010110010100000 +00000011110000000000001101010000 +00000000000000000000000000000000 +10100000000111011111110000000000 +11110111000000000011111100000000 +00001111110000000000001111110000 +00000000111111110000010000111111 +00000000000011110101000000000011 +11110000000000001111110000000000 +00111111000000001000111111010000 +00000011111010000000011001110000 +00000000000000000000000000000000 11000000000001011111111000000000 11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 -11100000000011111111100000000011 +00001111111101000000001111111100 +10000000111111111000000000111111 +11000100000010001111100000000011 11111110000000001111111110000000 00111111111000000000111111111000 00000011111100000000000001110000 00000000000000000000000000000000 -10000000000100001110111000000000 +10000000000100000110111000000000 10111011100000000010111011100000 -10001011101110000000001011101110 -00000000101110111000000000101110 -11000000010010111011100000000010 +00001011111101010000001011111101 +00100000101110111000000000101111 +11011100000010001011100000000010 11101110000000001011101110000000 00101110111000000000101110111000 00000010111100000000010000110000 00000000000000000000000000000000 10001000000001011100110000000000 10110011000000000010110011000000 -00001011001100000001001011001100 -00010000101100110000000000101100 -11000000000010110011000000000010 +00001011001100100000011011001100 +11000000101100110000000000101100 +11001001000010000011000000000010 11001100000000001011001100000000 00101100110000000000101100110000 00000010111100100000000101110000 00000000000000000000000000000000 11000000000101011010110000000000 -10111011000000000010111011000000 +10111011000000000100111011000000 00001011101100000000001011101100 -00010000101110110000000000101110 -11000000000010111011000000000010 +00000000101110110000000000101110 +11000000000010001011000000000010 11101100000000001011101100000000 00101110110000000000101110110000 00000010111100000000010001100000 00000000000000000000000000000000 01000000000101011110110000000000 11111011000000000011111011000000 -00001111101100000100001111101100 +00001111101100000000001111101100 00000000111110110000000000111110 -11000000000011111011000000000011 +11000000000011001011000000000011 11101100000000001111101100000000 00111110110000000000111110110000 00000011110000000000010001110000 @@ -7055,142 +7055,142 @@ Bits: 336768 11100000000000011011110000000000 11111111000000000011111111000000 00001111111100000000001111111100 -00000000111111110000000000111111 +00000000111111110000000000111110 11000000000011111111000000000011 11111100000000001111111100000000 00111111110000000000111111110000 -01000011111110000000000001100000 +01100011111111000000000001100000 00000000000000000000000000000000 01000000000100001010110000000000 -11111011000000000011111011000000 +11111011000000000011111011000010 00001111101100000000001111101100 -00000000111110110000000000111110 -11000100000011011011000000000011 +00000000110010110001000000111110 +11000000000011001011000000000011 11101100000000001111101100000000 00111110110000000000111110110000 00000011110100000000010000100000 00000000000000000000000000000000 11001000000001010010110000000000 10111011000000000010111011000000 -10001011101100000000001011101100 -00000000101110110000000000101110 +00001011111100000000001011111100 +00000000100010110000000000101111 11000000000010001011000000000010 -11101100000000001011101100000000 +11101100000000001011101100000100 00101110110000000000101110110000 00000010111101100000000001000000 00000000000000000000000000000000 11100000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000010000010010011000000000010 +10110011000000000010110011010000 +00001011001100000100001011001100 +00000000100000110000100100101110 +11000001000010000011000000000010 11001100000000001011001100000000 00101100110000000000101100110000 00000010111110000000000001010000 00000000000000000000000000000000 00100000000000010001111000000000 10110111100000000010110111100000 -00001011011110000001001011011110 -00000000101101111000001000101101 +00001011011110000000001011011110 +00000010100001111000000000101101 11100000000010000111100000000010 11011110000000001011011110000000 00101101111000000000101101111000 -00000010111111100000000001000000 +01000010111111000000000001000000 00000000000000000000000000000000 01001000000010000000110000000000 11110011000000000011110011000000 -00001111001100000000001111001100 -00000000111100110000000000111110 -11000000000011010011000000000011 -11001100000000001111001100000000 +00001111001100000000001111101100 +00000000110000110000000000111100 +11000000000011000011000000000011 +11001100010000001111001100000000 00111100110000000000111100110000 00000011110100100000001000000000 00000000000000000000000000000000 01000000000111011010110000000000 -11111011000000000011111011000000 +11111011000000010011111011000000 00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000010011 -11101100000000001111101100000000 +00100000111110110000000000111110 +11000010000011111011000000000011 +11101100000100001111101100000000 00111110110000000000111110110000 00000011110100000000011001100000 00000000000000000000000000000000 10101000000001011110110000000000 11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000001111011000000000011 +00001111101101010000001111101100 +10000000110010110001000000111110 +11001000000011111011000000000001 11101100000000001111101100000000 00111110110000000000111110110000 00000011111010100000000001110000 00000000000000000000000000000000 01001000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000010 -11011100000000001011011100000000 +10110111000000000010110111010000 +00001011011100101000001011001100 +00100000100001110000000000101101 +11000010000010110111000000000010 +01011100000000001011011100000000 00101101110000000000101101110000 00000010111100100000010001100000 00000000000000000000000000000000 11000000000000001001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 +10110111100000000010110111101000 +10001011011110000000001011011110 +10000000100001111000000000101101 11100000000010110111100000000010 -11011110000001001011011110000010 +11011110000000001011011110000000 00101101111000000000101101111000 00000010111000000000000000100000 00000000000000000000000000000000 01001000000101001100110000000000 10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 +00001011101100000000001011001100 +00000000100000110000000000101100 11000000000010110011000000000010 -11001100000000001011001100000100 +01001100000000001011001100000000 00101100110000000000101100110000 00000010110100100000010000110000 00000000000000000000000000000000 11101000000101011010100000000000 11111010000000000011111010000000 00001111101000000000001111101000 -00000000111110100000000000111110 -10000000000011111010000000000011 +00000000110010100000000100111110 +10000001000011111010000000000011 11101000000000001111101000000000 00111110100000000000111110100000 00000011111110100000010001100000 00000000000000000000000000000000 01001000000000001110000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00010000000011111000000000000011 -11100000000000001111100000000000 +11111000000000000011111000010000 +01001111100000000000001111100000 +00000010111110000100000000111110 +00000000000011111000000000000011 +01100000000000001111100000000000 00111110000000000000111110000000 00000011110100100000000000110000 00000000000000000000000000000000 00001000000100001110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01110000000011111001000000000011 +11111001000000000011111001100000 +00001111100100000000001100000100 +00000000110010010000000000111110 +01000000000011111001000000000011 11100100000000001111100100000000 00111110010000000000111110010000 00000011110000100000010000110000 00000000000000000000000000000000 10000000000001000110010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000001000010 +10111001000000000010111011100100 +01001011100100000000001000100100 +00010000100010110110000000101110 +01000000000010111001000000000010 11100100000000001011100100000000 00101110010000000000101110010000 00000010111000000000000000010000 00000000000000000000000000000000 00011000000001010010010000000000 10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 +00001011100100000000001000100100 +00000010100010010000000000101110 01000000000010111001000000000010 11100100000000001011100100000000 00101110010000000000101110010000 @@ -7198,72 +7198,72 @@ Bits: 336768 00000000000000000000000000000000 00001000000001000000010000000000 10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -11000000000010110001000000000010 +00001011000100100000001000000100 +10000000100000010000000000101100 +01001000000010110001000000010010 11000100000000001011000100000000 00101100010000000000101100010000 00000010110000100000000100000000 00000000000000000000000000000000 10111000000011010110000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011111000000000000011 +11111000000000000011111010000000 +00001111100001010000101100100001 +01000000110010000000000000111110 +00010100000011111000000000000011 11100000000000001111100000000000 00111110000000000000111110000000 00000011111011100000001101010000 00000000000000000000000000000000 -10011000000111011110010000000000 +10011000000111011110010000010000 11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01001010000011111001000000000011 -11100100000000001111100100000000 +00001111100100010000001111100100 +01000000101110010000000000111110 +01000100000011111001000000000011 +11100100000000001111100100000100 00111110010000000000111110010000 00000011111001100000011001110000 00000000000000000000000000000000 -00011000000001011110010000000000 +00011000000000011110010000000000 11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111111 -01000000000011001001000000000011 -11100100000000001111100100000000 +00001100100101100000001100100100 +10000000110000010000000000111110 +01011000000011111001000000000011 +11100100000000001111100100000110 00111110010000000000111110010000 00000011111001100000000001110000 00000000000000000000000000000000 00111000000100001110000000000000 -10111000000000000010111000000000 -00001011100000000000001011100000 -00000000101110000000000000101100 -00001010000010001000000000000010 +10111000000001000010111000000000 +00001000100001100000001000100001 +10100000100010000000000000101100 +00011000000010111000000000000010 11100000000000001011100000000000 00101110000000000000101110000000 00000010110011100000010000110000 00000000000000000000000000000000 00001000000001011100010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000000000010000001000000000010 +10110001000000000010111001000000 +00001000000100010000001000000100 +01000000100000010000000000101100 +01010000000010110001000000000010 11000100000000001011000100000000 -00101100010000000100101100010000 -00100010110100100000000101110000 +00101100010000000000101100010000 +00000010110100100000000101110000 00000000000000000000000000000000 00011000000101011010010000000000 10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000001000101110 -11000000000010001001000000000010 +01001000000100000000011000000100 +00000010100010010000000000101110 +01000000000010111001000000000010 11100100000000001011100100000000 00101110010000000000101110010000 00000010110001100000010001100000 00000000000000000000000000000000 10100000000101011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011001001000000000011 +11111001000000000011110001000000 +00100100100100000000101100100100 +00000100110010010000000100111110 +01000000000011111001000000000011 11100100000000001111100100000000 00111110010000000000111110010000 00000011111010000000010001110000 @@ -7271,231 +7271,231 @@ Bits: 336768 00101000000000011010010000000000 11111001000000000011111001000000 00001111100100000000001111100100 -00000000111110010000001000111110 -01000010001011111001000000000011 +00000000111110010000000000111110 +01000000000011111001000000010011 11100100000000001111100100000000 00111110010000000000111110010000 00000011110110100000000001100000 00000000000000000000000000000000 -00101000000100001010000000000000 +00101000000100000010000000000000 11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 -00000000000011001000000000000011 -11100000000000001111100000000000 +00001111100000000000101100100000 +00000000111110000000000000110010 +00000000001011001000000000000011 +11100000000000001110100000000000 00111110000000000000111110000000 00000011110010100000010000100000 00000000000000000000000000000000 00101000000001010010100000000000 -10111010000000000010111010000000 -00001011101000000000001011101000 -00000000101110100000000000101111 -10011000000010001010000000000010 -11101000000000001011101000000010 +10111010000000000010111010100000 +00001011101000000000001000101000 +00000000101110101000000000110110 +10000000000010001010000000000010 +11101000000000001011101000000100 00101110100000000000101110100000 00000010110010100000000001000000 00000000000000000000000000000000 00101000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101110 -11000000001010000011000000000010 -11001100000000001011001100000000 +10110011000000000010110011100100 +00001011001100000000001000001100 +00000000101100111001000000100000 +11000000000010000011000000000010 +11001100000000001010001100000000 00101100110000000000101100110000 00000010110010100000000001010000 00000000000000000000000000000000 10100000000000010001110000000000 10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010000111000000000010 +10011011001100100000001001011100 +10000000101111111000000000100101 +11101000000110000111000000000010 11011100000000001011011100000000 00101101110000000000101101110000 00000010111010000000000001000000 00000000000000000000000000000000 10101000000010000001111000000000 11110111100000000011110111100000 -00001111011110000000001111011110 -00010000111101111000000000111101 -11100000000011000111100000000011 -11011110000000001111011110000000 +00001111011110010000001100011110 +00000000111101101000000100110001 +11111010000011000111100000000011 +11011110000000001110011110000000 00111101111000000000111101111000 00000011111010100000001000000000 00000000000000000000000000000000 -00001000000111011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111111 -11000000000011111011000000000011 +00001000000011011010110000000000 +11111011000000000011111010011010 +00001111101100010000001110101101 +11100000111110110110100000111110 +11011000000011111011000000000011 11101100000000001111101100000000 00111110110000000000111110110000 00000011110000100000011001100000 00000000000000000000000000000000 00000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 +11111111100000000011111101100000 +00001111111110000100001111111110 00000000111111111000000000111111 -11100000000011111111100100000010 -11111110010000001111111110010000 +11100000010011111111100000000001 +11111110000000001111111110000000 00111111111000000000111111111000 -00000011110100000001010001110000 +00000011110100000000000001110000 00000000000000000000000000000000 10101000000100011001110000000000 -10110111000000000010110111000000 +10110111000000000010110101000000 00001011011100000000001011011100 -01000000101101110000000000101101 -01000100000010110111000000000010 -11011100000000001011011100000000 +00000000101101010000000000101101 +11000100000010110111000000000010 +01011100000000001011011100000000 00101101110000000000101101110000 00000010111010100000010001100000 00000000000000000000000000000000 00000000000000001001110000000000 -10110111000000000010110111000000 +10110111000000000010110101000000 00001011011100000000001011011100 -00000000101101110000000000101101 -11000000000010110111000000000110 -11011100000001001011011100000010 +00000000101101100000000000101101 +11000000000010110111000000000010 +11011100000000001011011100000000 00101101110000000000101101110000 -00000010110001000000000000100000 +00000010110001100000000000100000 00000000000000000000000000000000 00100000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000010001011001100 -00000000101100110000000000101100 -10000000000010110011000000000010 -11001100000000001011001100000000 +10110011000000000110110000000000 +00001011001100000000011011001100 +00000000101100010000000001101100 +11000000000010110011000000000010 +01001100000000001011001100000000 00101100110000000000101100110000 00000010110110000000010000110000 00000000000000000000000000000000 10101000000101011010110000000000 -11111011000000000011111011000000 -00001111101100000000001011101100 -00000000111110110000000000111110 -00000000000011111011000000000010 +10111011000000000011111010000000 +00001111111100000000001111111100 +00000000111110010000000000111111 +11000000000011111011000000000011 11101100000000001111101100000000 00111110110000000000111110110000 -00000011111010100000000001100000 +00000010111010000000010001100000 00000000000000000000000000000000 10000000000000001110110000000000 -11111011000000000011111011000000 -10001111101100000000001111101100 -00000000111110110000000000111110 -10000000000011111011000000000011 -11101100000000001111101100000000 -00101110110000000000111110110000 -00000011111001000000000000110000 +11111011000000000011111010000000 +00001111001100000100001111101100 +00000000111110100100000000111100 +11000001000011111011000000000011 +01101100000000001111101100000000 +00111110110000000000111110110000 +00000011111000000000000000110000 00000000000000000000000000000000 00000001000100001111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 -00110000000011001111000000000011 +11111111000000000011111101010000 +00001111111100000000001100111100 +00000000111111001010000000111111 +11000000000011001111000000000011 11111100000000001111111100000000 -00111111110000000100111111110000 -00100011111000000000000000110000 +00111111110000000000111111110000 +00000011111000000000010000110000 00000000000000000000000000000000 -10000001000001000110110000001000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 -01100001000011011011000000000010 +10000001000001000110110000000000 +10111011000000100010111000011000 +00001011101100000000001000101100 +00000000101110101000000000101110 +11000000000010001011000000000010 11101100000000001011101100000000 00101110110000000000101110110000 -00000010111000010000000000010000 +00000010111000000000000000010000 00000000000000000000000000000000 10000000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000010001011101100 -00000000101110110000000000101100 -00000000000010001011000000000010 +10111011000000000010111010000000 +00001011101100000000001000101100 +00000000101110010100000000101110 +11000000000010001011000000000010 11101100000000001011101100000000 00101110110000000000101110110000 00000010111000000000000001000000 00000000000000000000000000000000 00001000000001000000110000000000 -10110011000000000010110011000000 -00001011001100000000011011001100 -00000000101100110000000000101100 -00000000000010010011000000000010 +10110011000000000010110010000000 +00001011001100000000001000001100 +00000000101100000000000000101100 +11000000000010000011000000000010 11001100000000001011001100000000 00101100110000000000101100110000 00000010110000100000000100000000 00000000000000000000000000000000 00000000000011010110110000000000 -10111011000000010011111011000000 -01001111101100000000011111101100 -00000000111110110000000000111110 -00000000000011001011000000010111 -11101100000010001111101100000000 +11111011000000000011111001000001 +00001111111100000000101100111100 +00000000111110000000000000111111 +11000000001011001011000000000011 +11101100000000001111101100000000 00111110110000000000111110110000 00000011111000000000001101010000 00000000000000000000000000000000 10100000000111011111110000000000 -11111111000000000011111111000000 +11111111000000000011111100000000 00001111111100000000001111111100 -00000000111111110000000000111111 -00000000000011111111000000000011 +00000000101111000000000000111111 +11000000000011111111000000000011 11111100000000001111111100000000 00111111110000000000111111110000 -00110011111010000000011001110000 +00000011111010000000011001110000 00000000000000000000000000000000 -11000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 +11000000000101011111111000000000 +11111111001000000011110100100001 +00001111110010010000001111110010 +00010000111111111000000000111111 11100000000011111111100000000011 11111110000000001111111110000000 -00111111111000000000111111111000 +00111111111000000100111111111001 00000011111100000000000001110000 00000000000000000000000000000000 -10000000000100001110111000000000 -10111011100000000010111011100000 -00001011101110000000001011101110 -00000000101110111000000000101110 -11100000000010111011100000000010 +10000000000110001110111000010000 +10111111110100000010111001100000 +00001011100000000000001011100110 +00000000101110111000000000111010 +11100001000010111011100000000010 11101110000000001011101110000000 -00101110111000000000101110111000 +00101110111000000000101110110010 00000010111000000000010000110000 00000000000000000000000000000000 10001000000001011100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 +10110011000000000010110010000000 +00001011000000000000001011000000 00000000101100110000000000101100 11000000000010110011000000000010 11001100000000001011001100000000 -00101100110000000000101100110000 +00101100110000000000101100110010 00000010111000100000000101110000 00000000000000000000000000000000 -11000000000101011010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 +11000000000001011010110000000001 +10111011000000000010111010000000 +00001011101100100000001011100100 +01000000101110110000000000101110 11000000000010111011000000000010 11101100000000001011101100000000 00101110110000000000101110110000 00000010111100000000010001100000 00000000000000000000000000000000 00000000000101011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 +11111011000000000011111000100000 +00001111100000000000001111100000 00000000111110110000000000111110 -11000000000011111011000000000011 +11000000000011111011000000100111 11101100000000001111101100000000 00111110110000000000111110110000 00000011110100000000010001110000 00000000000000000000000000000000 11100000000000011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 +11111011000000100011111101010000 +00001111110000000010001111111110 +00000000111111110000000000111011 11000000000011111111000000000011 -11111100000000001111111100000000 +11111100000000001111111100000010 00111111110000000000111111110000 00000011111110000000000001100000 00000000000000000000000000000000 01000000000100001010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 +11111011001000000011011011000000 +00001101100000000000001101100000 00000000111110110000000000111110 11000000000011111011000000000011 11101100000000001111101100000000 @@ -7503,44 +7503,44 @@ Bits: 336768 00000011110100000000010000100000 00000000000000000000000000000000 11001000000001010010110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 +10111111000000100010001011100010 +00001000101100000000001000101100 00000000101110110000000000101110 11000000000010111011000000000010 11101100000000001011101100000000 -00101110110000000000101110110000 +00101110110000000000101110110010 00000010111100100000000001000000 00000000000000000000000000000000 11100000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 +10110011000000000010010000100000 +00001001001100000000001001001000 00000000101100110000000000101100 -11000000000010110011000000000010 +11000000000110110011000000000010 11001100000000001011001100000000 00101100110000000000101100110000 00000010111110000000000001010000 00000000000000000000000000000000 01100000000000010001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 -00000000101101111000000000101101 -11100000000010110111100000000010 +10110111100000000010001101100001 +00001000011110000000001000011110 +00000000101101111000000001101101 +11100000100010110111100000000010 11011110000000001011011110000000 00101101111000000000101101111000 00000010110010000000000001000000 00000000000000000000000000000000 -01001000000010000000110000000000 -11110011000000000011110011000000 -00001111001100000000001111001100 +01001000000010000000110000000001 +11110011000000000011010000000000 +00001101100000000000001101001000 00000000111100110000000000111100 11000000000011110011000000000011 11001100000000001111001100000000 00111100110000000000111100110000 -00000011110100100000001000000000 +01000011110100100000001000000000 00000000000000000000000000000000 -01000000000111011011110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 +01000000000111011011110000000101 +11111111000010100011111100000000 +00001111111100010010001111111100 00000000111111110000000000111111 11000000000011111111000000000011 11111100000000001111111100000000 @@ -7548,26 +7548,26 @@ Bits: 336768 00000011110100000000011001100000 00000000000000000000000000000000 10101000000001011110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 +11111011011000000011111010000000 +10000111101100000010001100100001 +00100000111110110000000000111110 +11000000000011111011000001000011 11101100000000001111101100000000 00111110110000000000111110110000 00000011111010100000000001110000 00000000000000000000000000000000 -01001000000100011001110000000000 -00110111000000000010110111000000 -00001011011100000000001011011100 +01001000000100010001110000000000 +10110111001010000010110111000000 +00001011011100000000001000011100 00000000101101110000000000101101 11000000000010110111000000000010 11011100000000001011011100000000 00101101110000000000101101110000 00000010110100100000010001100000 00000000000000000000000000000000 -11000000000000001001111000000000 -10110111100000000010110111100000 -00001011011110000000001011011110 +11000001000000001001111000000000 +10110111100000100010110111100000 +00011011010010000000001000010010 00000000101101111000000000101101 11100000000010110111100000000010 11011110000000001011011110000000 @@ -7575,310 +7575,310 @@ Bits: 336768 00000010111100000000000000100000 00000000000000000000000000000000 01001000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 +10110011000000000010110011001000 +00001011001100000000101000001100 00000000101100110000000000101100 11000000000010110011000000000010 11001100000000001011001100000000 00101100110000000000101100110000 00000010110100100000010000110000 00000000000000000000000000000000 -11101000000101011010100000000000 -11111010000000000011111010000000 -00001111101000000000001111101000 -00000000111110100000000000111110 -10000000000011111010000001000011 -11101000000100011111101000000100 -00111110100000000000111110100000 +11101000100101011010100000000000 +11111010000000000011110110110010 +01001111111000000000001100001000 +10000000111110100000000000111110 +10000000000011111010000000000011 +11101000000011001111101000000010 +00111110100000000000011110100000 00000011111110100000010001100000 00000000000000000000000000000000 -01001000000000001110000000000000 +01001000000000001110000000010000 11111000000000000011111000000000 00001111100000000000001111100000 00000000111110000000000000111110 -00000000000011011000000000000011 -11100000000000001111100000000000 -00011110000000000000111110000000 +00000000010011111000000000000011 +11100000000000000111100000000000 +00111110000000000000111110000000 00000011110100100000000000110000 00000000000000000000000000000000 00001000000100001110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 +11111001010000000011001001000000 +00001100100100000010001100101100 +00000000110010010000000000111110 01000000000011111001000000000011 11100100000000001111100100000000 00111110010000000000111110010000 -00000011110000100000010000110000 +00000011000000100000010000110000 00000000000000000000000000000000 10000000000001000110010000000000 -10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000000111001000000000010 +10110001110000000010001001000000 +00001000000100000000001000100101 +00000000100010010000000000101110 +01000000000010111001000000000010 11100100000000001011100100000000 00101110010000000000101110010000 -00000010111000000000000000010000 +00000010001000000000000000010000 00000000000000000000000000000000 00011000000001010010010000000000 -10111001000000000010111001000000 -00001010100100000000001011100100 -00000000101110010000000000101110 +10111001000000011010101001000100 +00001000101100000000001000100101 +00010000100010010000000000101110 01000000000010111001000000000010 11100100000000001011100100000000 -00101110010000000000101110010000 -00000010110001100000000001000000 +00101110010000000000101100010000 +00000010000001100000000001000000 00000000000000000000000000000000 00001000000001000000010000000000 -10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000001100010110001000001000010 +10110011001010000010101001000000 +00101000100100000010001000100100 +00000000000000010000000000101100 +01000000000010110001000000000010 11000100000000001011000100000000 00101100010000000000101100010000 -00000010110000100000000100000000 +00001010000000100000000100000000 00000000000000000000000000000000 10111000000011010110000000000000 -11111000000000000011111000000000 -00001110100000000000001111100000 -00000000111110000000000000111110 +11111000001000000011101000000000 +00001100000000000000001100100000 +00000010110010000000000000111110 00000000000011111000000000000011 11100000000000001111100000000000 -00111110000000000000111110000000 -00000011111011100000001101010000 +00111110000000000000111110000101 +00000011001011100000001101010000 00000000000000000000000000000000 10011000000111011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 +11111001000000000011011111000000 +01001111110100101000101111100100 00000000111110010000000000111110 01000000000011111001000000000011 11100100000000001111100100000000 00111110010000000000111110010000 00000011111001100000011001110000 00000000000000000000000000000000 -10011000000001011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 +10011000000001011010010000000000 +11111001000000010011111001000000 +00001111100100000000001100100100 00000000111110010000000000111110 01000000000011111001000000000011 11100100000000001111100100000000 -00111110010000000000111110010000 -00000011110001100000000001110000 +00111110010000000000111111010100 +00000011000001100000000001110000 00000000000000000000000000000000 -00111000000100001110000000000000 -10111000000000000010111000000000 -00001011100000000000001011100000 +00111001000100001110000000000000 +10111000000101000010111000000000 +00001011000000100000001101100000 00000000101110000000000000101110 -00000001000010111000000000000010 +00000000000010111000000000000010 11100000000000001011100000000000 -00101110000000000000101110000000 -00000010110011100000010000110000 +00101110000000000000101100000000 +00000010000011100000010000110000 00000000000000000000000000000000 -00001000000001011100010000000000 +00001001000001001100010000000000 10110001000000000010110001000000 -00001011000100000000001011000100 -00000000101100010000000000101100 -01000001110010110001000000100010 +01001011000100101000011000000100 +00010000101100010000000000101100 +01000001100010110001000000000010 11000100000000001011000100000000 -00101100010000000000101100010000 -00000010110000100000000101110000 +00101100010000000000101100010010 +00000010000000100000000101110000 00000000000000000000000000000000 -00011000000101011010010000000000 +00011001100101011010010000000001 10111001000000000010111001000000 -00001011100100000000001011100100 -00000000101110010000000000101110 -01000000000010111001000000010010 +00001011100100000000001001100100 +00000001101110010000000000101110 +01000000000010111001000000000010 11100100000000001011100100000000 00101110010000000000101110010000 -00000010110001100000010001100000 +00000010000001100000010001100000 00000000000000000000000000000000 -10100000000101011110010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000001000011 +10100010000101001010010000000000 +11111001000000000011111001010000 +10001111100100100000001100100100 +00000100111110010000000000111110 +01000000000011111001000000000011 11100100000000001111100100000000 00111110010000000000111110010000 -00000011111010000000010001110000 +00001011001010000000010001110000 00000000000000000000000000000000 -00101000000000011010010000000000 -11111001000000000011111001000000 -00001111100100000000001111100100 -00000000111110010000000000111110 -01000000000011111001000000000011 +00101000000000001010010000001000 +11111011000000000011111011100100 +00001111100110100000001111101100 +00000000111110010000000001111110 +01000000000011111001000000000111 11100100000000001111100100000000 -00111110010000000000111110010000 -00010011110010100000000001100000 +01111110010000000000111110010000 +00000011110010100000000001100000 00000000000000000000000000000000 00101000000100001010000000000000 -11111000000000000011111000000000 -00001111100000000000001111100000 -00000000111110000000000000111110 +11111000000000000011011000010000 +00001111100001000000001101100000 +01000000111110000000000000111110 00000000000011111000000000000011 -11100000000001001111100000000010 +11100000000000001111100000000000 00111110000000000000111110000000 -00000011110010100000010000100000 +00000011000010100000010000100000 00000000000000000000000000000000 -00101000000001010010100000000000 -10111010000000000010111010000000 -00001011101000000000001011101000 +10100000000001000010100000000000 +10111010000000000010001010101000 +00001011101000000010001000101011 00000000101110100000000000101110 -10000000000010111010000000000010 -11101000000000001011101000000000 +10000000000010111010000000010010 +11101000000000001011101000000001 00101110100000000000101110100000 -00000010110010100000000001000000 +00000010000010100000000001000000 00000000000000000000000000000000 00101000000001010100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 +10110011000000000010010011000000 +00001011101100000000001001001111 +10000000101100110000000000101100 11000000000010110011000000000010 11001100000000001011001100000000 -00101100110000000000101100110000 -00000010110010100000000001010000 +00101100110000000000101110111000 +00000010000010100000000001010000 00000000000000000000000000000000 -10100000000000010001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 +01100001000000010001110000001000 +10110110100000000010000111000000 +00001011011100000000001001011100 00000000101101110000000000101101 11000000000010110111000000000010 11011100000000001011011100000000 -00101101110000000000101101110000 -00000010111010000000000001000000 +00101101110000000000101101010000 +10000010001010000000000001000000 00000000000000000000000000000000 -10101000000010000001111000000000 -11110111100000000011110111100000 -00001111011110000000001111011110 +00101000000010000001111000010100 +11110001100001000011010101100010 +00001111011110100000001101011110 00000000111101111000000000111101 11100000000011110111100000000011 11011110000000001111011110000000 00111101111000000000111101111000 -00000011111010100000001000000000 +00001011001010100000001000000000 00000000000000000000000000000000 -00001000000111011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 +01001000000111011010110000000100 +11111000011001110011111011000000 +10001111101100000000001110101101 00000000111110110000000000111110 -11000001000011111011000001000011 +11000000000011111011000000000011 11101100000000001111101100000000 -00111110110000000000111110110000 -00000011110000100000011001100000 +00111110110000000000111110010110 +10000011110000100000011001100000 00000000000000000000000000000000 -01000000000001011111111000000000 -11111111100000000011111111100000 -00001111111110000000001111111110 -00000000111111111000000000111111 +11000000000001011111111001000000 +11111111110100000011011111100100 +00001111111111001000001111110011 +01000000111111111000000000111111 11100000000011111111100000000011 11111110000000001111111110000000 -00111111111000000000111111111000 -00000011110000000000000001110000 +00111111111000000000111111011000 +00000011000000000000000001110000 00000000000000000000000000000000 -10101000000100011001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 +10101000000000011001110001000000 +10110110011100000011010101000000 +00001110011100100000001011010000 00000000101101110000000000101101 -11000100000010110111000000000010 +11000000000010110111000000000010 11011100000000001011011100000000 00101101110000000000101101110000 -00000010111010100000010001100000 +00000010001010100000010001100000 00000000000000000000000000000000 -00011000000000001001110000000000 -10110111000000000010110111000000 -00001011011100000000001011011100 -00000000101101110000000000101101 +00011001010000001001110000000100 +10110101000000000010000101010000 +00011011011100000001001011010101 +00000001101101110000000000101101 11000000000010110111000000000010 11011100000000001011011100000000 -00101101110000000000101101110000 -00000010110000000000000000100000 +00101101110000000000101101011000 +00000010000000000000000000100000 00000000000000000000000000000000 -01100000000101001100110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 +01100010000101001100110000000000 +10110000000000000010010001000000 +00000010001101000000011011000100 00000000101100110000000000101100 11000000000010110011000000000010 -11001100000000001011001100000100 -00101100110000000000101100110000 -00000010110010000000010000110000 +11001100000000001011001100000000 +00101100110000000000101110110000 +00000010000010000000010000110000 00000000000000000000000000000000 -10111000000101011010110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 -11101100000100011111101100000000 +11111000000101011010110000000000 +11111011000000000011001010010000 +00000111011101000001001111101100 +00010000111110110000000000111110 +11000000100011111011000000000011 +11101100000000001111101100000000 00111110110000000000111110110000 -00000011111010100000010001100000 +00000011001010100000010001100000 00000000000000000000000000000000 10000000000000001110110000000000 -11111011000000000011111011000000 -00001111101100000000001111101100 -00000000111110110000000000111110 -11000000000011111011000000000011 +11110011000001000011111010000001 +00001111101100010000001111101110 +00001000111110110000000000111110 +11000000000001111011000000000011 11101100000000001111101100000000 -00111110110000000000111110110000 +00111110110000000000111110010000 00000011111000000000000000110000 00000000000000000000000000000000 -10000000000100001111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 +11000001000100001111110000000000 +11111000000000000011001100000000 +00001111111100001000001100111000 +00000000110011110000000001111111 11000000000011111111000000000011 11111100000000001111111100000000 00111111110000000000111111110000 -00000011110000000100010000110000 +00000011000000000100010000110000 00000000000000000000000000000000 10000001000001000110110000000000 -10111011000000000010111011000000 -00001011101100000000001011101100 -00000000101110110000000000101110 +10111000010001000010101010010001 +00001011101100000000001000001001 +00000000110010110000000001111110 11000000000010111011000000000010 -11101100000000001011101100000001 -00101110110000000000101110110000 -00000010111000000100000000010000 +11101100000000001011101100000000 +00101110110000000000101110010000 +00000011001000000100000000010000 00000000000000000000000000000000 -10000000000001010010110000000000 -10111011000000000010111011000000 -00001010101100000000001011101100 -00000000101110110000000000101110 +10000000000000010110110000000100 +10111011000000010010001010000010 +00001011101100000000001000100000 +00100000100010110000010000101110 11000000000010111011000000000010 11101100000000001011101100000000 -00101110110000000000101110110000 -00000010111000000000000001000000 +00101110110000000000101110010000 +00000010001000000000000001000000 00000000000000000000000000000000 -00001000000001000000110000000000 -10110011000000000010110011000000 -00001011001100000000001011001100 -00000000101100110000000000101100 -11000000000010110011000001000010 +00001000010000000000110000000000 +10110011000000000010100000000001 +01001011101100000000101000000000 +00000000100000110000000000101000 +11000000000010110011000000000010 11001100000000001011001100000000 00101100110000000000101100110000 -00000010110000100000000100000000 +00000010000000100000000100000000 00000000000000000000000000000000 -10000000000011010110110000000100 -11111011000000010011111011000000 -10001110101100000000001111101100 -00000000111110110000000000111110 +10000000000000000110110000000000 +11111000000000000011001000000000 +00001111111100000001001100100000 +00000010110010110000000000101110 11000000000011111011000000000011 11101100000000001111101100000000 -00111110110000010000111110110000 -00000011110000000000001101010000 +00111110110000000000111101010000 +00000011000000000000001101010000 00000000000000000000000000000000 -10100000000111011111110000000000 -11111111000000000011111111000000 -00001111111100000000001111111100 -00000000111111110000000000111111 +10100000010110011111110000000000 +11111100000000000011111100000000 +00001111111100000010001111110000 +00000000111011110000000000111111 11000000000011111111000000000011 11111100000000001111111100000000 00111111110000000000111111110000 -00000011111010000000011001110000 +00000011101010000000011001110000 00000000000000000000000000000000 -00000000110001010100000100000001 +00000000110001010100000100000011 01110000010000001101110001010000 -00110111000001000000110111000101 -00000001011100010100000011011100 -00010001001101110000010000001101 -11000101000000110111000101000001 -11011100000100000011011100000000 -10001101110000000011000100000000 +00010111000001000000110111000101 +00000000011100010100000011011100 +00010000001101110000010000001101 +11000111000000110111000101000000 +11011100000100000011011100000100 +00001101110000000011000100000000 00000000000000000000000000000000 00000000110001010100010000000101 01110001000000010101110001000000 @@ -7890,79 +7890,79 @@ Bits: 336768 00010101110000000011000101010000 00000000000000000000000000000000 00000000100000000000001000000001 -00100000100000000100100000100000 -00010010000010000000010010000010 -00000001001000011000000001001000 +00100000100000000100100001100000 +00010010000010000000010010000110 +00000001001000000000000001001000 00100000000100100000100000000100 10000110000000010010000110000000 01001000001000000001001000001000 00000100100000000010000000000000 00000000000000000000000000000000 00000000100000000000000000000001 -01100000000000000101100001000000 -00010110000000000000010110000110 -00000101011000000000000001011000 +01100000000000000101100000000000 +00010110000000000000010110000000 +00000101011000000000000000011000 00000000000101100000000000000101 -10000000000000010110000000000001 +10000000000000010110000000000000 01011000000000000001011000000000 00000101100000000010000000000000 00000000000000000000000000000000 -00000000110001010100100000000101 -01110010000000010101110010000000 -01010111001000000001010111001000 +00000000110001010100110000000101 +01110010000000010001110010010000 +01010111001000000001000111001000 00000101011100100000000101011100 10000000010101110010000000010101 -11001010000001010111001100000001 -01011100100000000101011100100001 +11001000000001010111001110000001 +01011100100000000101011100100000 00010101110000000011000101010000 00000000000000000000000000000000 00000000110001010100000000000000 01100000000000000001100000000000 -00000110000000000000000110000000 +00000110000000000000000110000010 00000000011000000000000000011000 -00000000000001100000000000000001 -10000000000000000110000000000000 +00000000000001100001000000000001 +10000000000000000110000001000000 00011000000000000000011000000000 00000001100000000011000100000000 00000000000000000000000000000000 -00000000110001010100100000000100 +00000000110001010100110000000100 00100010000000010000100000100000 -01000010001000000001000010000000 +01000010001000000001000010000001 00000100001000100000000100001000 -10000000010000100010010000010000 -10001000000001000000001010000001 +10000000010000100011000000010000 +10001000000001000010001100000001 00001000100000000100001000110000 00010000100000000011000100000000 00000000000000000000000000000000 -00000000010001010100111000000101 -01000010100000010101000001110000 -00010100001010000001010100000000 +00000000010001010100101100000101 +01000011100000010101000001110000 +00010100001010000001010100000110 00000001010000101000000101010000 -10100000010101000011100000010101 -00001010000001010100001110000000 +10100000010101000010100000010101 +00001010000001010100001010000001 01010000101100000101010000101100 00010101000000000011000101010000 00000000000000000000000000000000 -00000000100000000001111000000001 -00000011000000000101010111000000 -00010001011100000000010101001110 +00000000100000000000110000000001 +00010011100000000101010111000000 +00010001011100000000010101001100 00000001010100110000000001010101 11000000000101010111000000000101 01001100000000010101011100000000 -01000000110000000001000100110100 +01000000110000000001000100111000 00000100010000000010000000000000 00000000000000000000000000000000 -00000000100000000000010000000100 -00000000000000000001000001100000 -00000001000000000100000100001100 +00000000100000000000010000000000 +00010001000000000001000001000000 +01000001000000000000000100001100 00000000010000000000000000010000 00000000000001000001000000000001 00000000000000000100000100000000 -00000000000000000000000100011000 +00000000000000000000000100010000 00000000010000010010000000000000 00000000000000000000000000000000 00000000110001010110000000000010 -00001000000000001000001000000000 +00011000000000001000001000000000 00100001100000000000100000100000 00000010000010000000000010000010 00000000001000001000000000001000 @@ -7970,17 +7970,17 @@ Bits: 336768 10000010000000000010000110000000 00001000010000010011000101010000 00000000000000000000000000000000 -00000000110001010101000000000101 -00100000000000010101100100000000 -01010110010000000000010110000000 +00000000110001010100000000000101 +01100000000000010101100100000000 +01010110010000000001010110000000 00000111011000000000000101011001 00000000010101100100000000010101 10000000000001010110010000000001 01001000000000000101011000000000 00010101100000000011000100000000 00000000000000000000000000000000 -00000000110001010100000000000011 -01100000000000001101100000000000 +00000000100001010100000000000011 +01100000000000000101100000000000 00010110000000000000110110001000 00000001011000000000000011011000 00000000001101100000000000001101 @@ -7989,26 +7989,26 @@ Bits: 336768 00001101100000000011000100000000 00000000000000000000000000000000 00000000110001010100001000000100 -00110000100000010000110000110000 -01100011000010000001000001000010 +00110000100000000000110000100000 +01100011000010000001000011000011 00000100001100001000000100001100 00100000010000110000100000010000 11000010000001000011000010000001 10001100001000000100001100001000 00010000110000000011000101010000 00000000000000000000000000000000 -00000000100000000000000000000000 +00000000100000010000000000000000 00110000000000000000110010000000 00000011000000000000000011000000 00000000001100000000000000001100 00000000000000110000000000000000 -01000000000000000011000000000000 +11000000000000000011000000000000 00001100000000000000001100000000 00000000110000000010000000000000 00000000000000000000000000000000 00000000100000000000001000000001 -00110000100000000100110010100000 -00010011000010000000010011000011 +00110000100000000100110010110000 +00010011000010000000010011000010 00000101001100001000000001001100 00100000000100110000100000000100 11000010000000010011000010000000 @@ -8016,12 +8016,12 @@ Bits: 336768 00000100110000000010000000000000 00000000000000000000000000000000 00000000110001010100001000000101 -01100000100000010101100000110000 -01010111000010000001010110000010 -00000100011000001000000101011000 +01100000100000010101100000100000 +01010111000010000001010110000011 +00000101011000001000000101011000 00100000010101100000100000010101 10000010000001010110000010000001 -01011000001000000101011000000000 +01011000001000000101011000001000 00010101100000000011000101010000 00000000000000000000000000000000 00000000110001010100001000000000 @@ -8034,8 +8034,8 @@ Bits: 336768 00000000100000000011000100000000 00000000000000000000000000000000 00000000110001010101001000000100 -01100000100000010001100100100000 -01000010000010000001000100010011 +01100100100000010001100100110000 +01000010000010000001000110010010 00000100001100001000000100011000 00100000010001100100100000010001 10000010000001000110010010000001 @@ -8051,28 +8051,28 @@ Bits: 336768 01010110000000000101010110000000 00010101010000000011000101010000 00000000000000000000000000000000 -00000000000001000000011000000001 +00000000000000000000011000000001 01000001100000000101000001100000 -00010100000110000000010100000110 -00000001010100011000000001010000 +00010100000110000000000100000110 +00000001010000011000000000010000 01100000000101000001100000000101 00000110000000010100000110000000 -01010000011000000001010010011000 +01010000011000000001010000011000 00000101000000000010000000000000 00000000000000000000000000000000 00000000100001000001001000000001 -00000000100000000100000100100000 +00000100100000010100000100100000 01010000000010000000010000010010 -00000001000000001000000001000000 +00010001000000001000000001000000 00100000000100000100100000000100 00000010000000010000010010000000 01000001001000000001000001001000 00000100000000000010000000000000 00000000000000000000000000000000 00000000110001010100011000000011 -01010001100000001101010001100000 +01010001100000000101010001100000 00110101000110000000110101000110 -00000010010100011000000011010100 +00000011010100011000000011010100 01100000001101010001100000001101 01000110000000110101000110000000 11010100011000000011010100011000 @@ -8080,7 +8080,7 @@ Bits: 336768 00000000000000000000000000000000 00000000110001010100011000000101 01110001100000010101110001100000 -01010111000110000000010111000110 +01010111000110000000000111000110 00000111011100011000000101011100 01100000010101110001100000010101 11000110000001010111000110000001 @@ -8089,26 +8089,26 @@ Bits: 336768 00000000000000000000000000000000 00000000010001010100011000000011 01110001100000001101110001100000 -00010111000110000000110111000110 -00000001011100011000000011011100 +00010111000110000000000111000110 +00000001011000011000000010011100 01100000001101110001100000001101 -11000110000000110111000110000000 +11000110000000110111000110000100 11011100011000000011011100011000 -00011101110000000001000100000000 +00001101110000000001000100000000 00000000000000000000000000000000 00000000010001010100011000000101 01110001100000010101110001100000 -01100011000110000000010111000110 +01110011000110000000010111000110 00000100001100011000000101011100 01100000010101110001100000010101 11000110000001010111000110000001 -01011100011000000101011101011000 +01011100011000000101011100011000 00010101110000000001000101010000 00000000000000000000000000000000 00000000000000000000001000000001 00100000100000000100100000100000 00000010000010000000010010000010 -00000001011100001000000001001000 +00000001001100001000000001001000 00100000000100100000100000000100 10000010000000010010000010000000 01001000001000000001001000001000 @@ -8116,33 +8116,33 @@ Bits: 336768 00000000000000000000000000000000 00000000000000000000011000000001 01100001100000000101100001100000 -00010010000110000000010110000110 -00000101011000011000010001011000 +00010110000110000000000100000110 +00000100011000011000000001011000 01100000000101100001100000000101 10000110000000010110000110000000 01011000011000000001011000011000 -00010101100000000000000000000000 +00000101100000000000000000000000 00000000000000000000000000000000 00000000010001010100000000000101 -00110000000000010101110000000000 -01010111000000000001010101000000 +01110000000000010101110000000000 +01010111000000000001000111000000 00000101011100000000000101011100 -00000000010101110000000000010000 -11000000000001010111000000000001 +00000000010101110000000000010100 +11000000000001000111000000000001 01001100000000000101011100000000 00010101110000000001000101010000 00000000000000000000000000000000 00000000010001010100001000000000 -00100000100000000001100000100000 +01100000100000000001100000100000 00000110000010000000000110000010 00000000011000001000000000011000 00100000000001100000110000000000 -10000010000000000110000010000000 -00001000001000000000011000001100 +10000010000000000110000011000000 +00001000001000000000011000001000 00000001100000000001000100000000 00000000000000000000000000000000 -00000000010001010100101000000100 -01100000100000010000100000100000 +00000000010001010100001000000100 +00100000100000010000100000100000 01000010000010000001000010000010 00000100001000001000000100001000 00100000010000100000100000010001 @@ -8150,106 +8150,106 @@ Bits: 336768 00011000001000000100001000001000 00010000100000000001000100000000 00000000000000000000000000000000 -00000000010001010100001100000101 -01010000100000010101000000100000 -00010100000010000001010100000010 +00000000010001010100001000000101 +01000000100000010101000000100000 +00010100000010000001000100000010 00000001010000001000000101010000 -00100000010101000000100000010101 -01000010000001010100000011000001 -01010100001000000101010000001100 -00000101000000000001000101010000 +00100000010001000000100000010101 +01000010000001010100000010000001 +01010100001000000101010000001000 +00010101000000000001000101010000 00000000000000000000000000000000 -00000000000000000000101100000001 +00000000000000000000001000000001 01010000110000000101010000100000 00010101000011000000010101000011 00000001010100001100000001010100 00110000000101010000110000000101 01000011000000010101001010000000 01010100001000000001010100001000 -00000100010000000000000000000000 -00000000000000000000000000000000 +00000101010000000000000000000000 00000000000000000000000000000000 +00000000000000000000100000000000 01000010000000000001000010000000 01000100001000000000000100001000 00000000010000100000000000010000 10000000000001000000000000000001 00001000000000000100000000000000 00010000100000000000010000100000 -00000000010000000001000100010000 +00000001000000000001000000000000 00000000000000000000000000000000 -00000000010001010100001100000010 -00100000100000001000000000100000 +00000000010001010100001000000010 +00000000100000001000000000100000 00100000000010000000000000000010 00000010000000001000000010000000 00100000001000000010100000001000 00000010000000100000000010000000 10000000001000000010000000001000 -10001000010000000001000101010000 +00001000000000000001000101010000 00000000000000000000000000000000 00000000010001010100000000000101 01100000000000010101100000000000 -01010110000000000001010110000000 -00000111011000000000001001011000 +01010110000000000001110110000000 +00000111011000000000000101011000 00000000010101100000000000010101 10000000000001010110000000000001 01011000000000000101011000000000 -00010101100000000001000000000000 +00010101100000000001000100000000 00000000000000000000000000000000 -00000000110001010100000000000001 +00000000110001010100000000000011 01100000000000001101100000000000 00010010000000000000110110000000 00000001011100000000000011011000 -00000000001101100000000000001101 +00000000001101100000000000011101 10000000000000110110000000000000 -01011000000000000111011000000000 +11011000000000000011011000000000 00001101100000000000000000000000 00000000000000000000000000000000 -00000000000000000000000000000100 +00000000000000000000000100000100 00110000000000010000110000010000 01100010000000000000000011000000 00000100011000000000000100001100 -00000000000000110000000000010000 -11000000000001000011000000000100 -00001100000000000100001100000000 +00000000010000110000000000011000 +11000000000001000011000000000000 +00001100000100000100001100000100 00010000110000000000000000000000 00000000000000000000000000000000 00000000000000000000000000000000 -00110000000000000000110101100000 -00000010000110000000000011010000 +00110000000000000000110101000000 +00000010000100000000000011010000 00000000001001000000000000001100 -01000000000000110001101000000000 -11010000000000000011010110000000 +01000000000000110001001000000000 +11010000000000000011010100000000 00001100000000000000001100000000 -10000000110000000000000000000000 +00000000110000000000000000000000 00000000000000000000000000000000 -00000000000000000000010000000001 +00000000000000000000010100000001 00110001010000000100110001010000 -00010011000101000000010011000101 +00010010000111000000010011000101 00000101001100010100000001001100 01110000000100110001011000000100 11000101000000010011000100000000 -01001100010000000101001100010000 +01001100010100000001001100010100 00000100110000000000000000000000 00000000000000000000000000000000 00000000000000000010001100000101 -01101000110000010101101000110000 -01010110100111000001010110100011 +01101000110000010001101001110000 +01010110100001000001010110100011 00000101011010001100000101011010 -00110000010101101000011000010101 +00010000010101101001111000010101 10100011000001010110100111000001 01011010001100000101011010001100 00010101100000000000000000000000 00000000000000000000000000000000 00000000000000000000000000000000 -00100000000000000000100101000000 +00100000000000000000100100000000 00000010000000000000000010010000 00000000001001000000000000001000 -01000000000000100010000000000000 +00000000000000100010010000000000 10010000000000000010010000000000 00001000000000000000001000000000 00000000100000000000000000000000 00000000000000000000000000000000 -00000000000000000000000001000100 +00000000000000000000100001000100 01100010000100010001100010000000 01000110001000000001000110001000 01000100011000100001000100011000 @@ -8259,52 +8259,52 @@ Bits: 336768 00010001100000000000000000000000 00000000000000000000000000000000 00000000000000000000000001000101 -01010000000100010101010000000100 -00010101000000010001010101000000 -00000001010100000001000101010100 -00000100010101010000000000010001 -01000000010001010101000000010101 -01010100000001000001010100000001 +01010000000000010001010001000100 +00010101000000010001000101000000 +00000001010100000001000100010100 +00000100010101010000000000000101 +01000000010001010101000001010101 +01010100000001000101010100000001 00010101010000000000000000000000 00000000000000000000000000000000 00000000000000000000100000100001 -01010010000010000101000010001010 -00010100001000001000010100001000 +01000010000010000101000010001010 +00010100001000001000000100001000 00100001010000100000000001010000 10000010000101000010000010000101 -01001000000000010100001000000000 +01001000000000000100001000000000 01010100100000100001010000100000 10000101000000000000000000000000 00000000000000000000000000000000 -00000000000000000000101010000100 +00000000000000000000101010000001 00000010100000000100000010100100 01010000001010000000010000001010 01100001000000101000000001000000 -10100000000100000010100000000100 +10100000000100000010100000000000 00001010000000010000001010000000 -01000000101000000001000000101000 +00000000101000000001000000101000 00000100000000000000000000000000 00000000000000000000000000000000 -00000000000000000000110010000010 -00010011000000001101010011001000 +00000000000000000000110010000011 +01010011000000001101010011001000 00110101001100000000010101001100 01000011010100110000000011010100 -11000000000101010011000000001001 +11000000001101010011000000001000 01001100000000110101001100001000 -00000000110000000011010100110010 +00000100110000000011010100110010 00001101010000000000000000000000 00000000000000000000000000000000 00000000000000000000100000100101 -01110010000000010101110010001000 +01110010000000000101110010001000 01010111001000000001010111001000 -00000011011100100000000101011100 +00000111011100100000000101011100 10000000010101110010000000010101 11001000000001010111001000000001 -11011100100000000101011100100000 +01011100100000000101011100100000 10010101110000000000000000000000 00000000000000000000000000000000 -00000000000000000000001100001000 -01001000110001100001000000110000 +00000000000000000010001100001000 +01001000110000100001000000110000 00000100000011000110000100100011 00000000010010001100010000010000 00110001100001000000110000100001 @@ -8331,7 +8331,7 @@ Bits: 336768 00000000000000000000000000000000 00000000000000000000000000000000 00000000000000000010110111111011 -00001011001101101100001001011111 +00001011011111101100001001011111 11110100100100110110110000101100 10011111010010110011011001000010 01001101101100001111101001101100 @@ -8340,7 +8340,7 @@ Bits: 336768 11101100000000000000000000000000 00000000000000000000000000000000 00000000000000000011001111111100 -01001100110011110001001101011111 +01001100111111110001001101011111 11110100110101001111000100110010 10111111010011001100111101000011 01010011110001001111101011110001 @@ -8348,7 +8348,7 @@ Bits: 336768 01000011001100111100010011001111 11110001000000000000000000000000 00000000000000000000000000000000 -00000000000000000010001100011110 +00000000000000000011101100011110 01001110110111111001001110010001 10000100111001011111100100111010 01111000010011101101111110000011 @@ -8357,115 +8357,115 @@ Bits: 336768 10000011101100011110010011101100 01111001000000000000000000000000 00000000000000000000000000000000 -00000000000000000000000100000010 -01110000010000001001110000010000 -00100111000001000000100111000001 -00000000011100000100000000011100 +00000000000000000000000100000110 +01110000010000001001110001010000 +00100111000001000000100111000111 +00000001011100010100000100011100 00010000001001110000010000001001 11000001000000100111000001000000 -00011100000100000010011100010100 +00011100000100000110011100010100 00001001110000000000000000000000 00000000000000000000000000000000 -00000000000000000000010000000101 -01110001000000010001110001000000 +00000000000000000000010000000001 +01110001000000010101110001000000 00010111000100000001010111000100 -00000111011100010000000101011100 +00000111001100010000000101011100 01000000010101110001000000010101 11000100000001010111000100000001 -11011100010000000101011100011000 +11011100010000000101011100010000 00010101110000000000000000000000 00000000000000000000000000000000 00000000000000000000001000000001 00100000100000000100100000100000 00010010000010000000010010000010 -00000001001000001000000001001000 +00000000001000011000000001001000 00100000000100100000100000000100 10000010000000010010000010000000 -01001000001000000001001000000000 +01001000001000000001001000001000 00000100100000000000000000000000 00000000000000000000000000000000 -00000000000000000000000000000000 -01100000000000000101110000000000 -00000110000000000000000110000000 -00000000011000000000000100011000 +00000000000000000000000000000100 +01100000000000000001100101000000 +00000110000000000000000110010100 +00000000011001000000000000011000 00000000000001100000000000000001 10000000000000000110000000000000 -00011000000000000000011000000000 +00011000000000000100011000010000 00000001100000000000000000000000 00000000000000000000000000000000 -00000000000000000000100000000100 -01110010000000010001110010000000 +00000000000000000000100000000001 +00100010010000010001110011100000 00000111001000000001000111001000 -00000101001100100000000100011100 -10000000010001110010000000010001 +00000100011100101000000100011100 +10000000010001110010010000010001 11001000000001000111001000000001 -00011100100000000100011100100000 +00011100100000000100011100101000 00010001110000000000000000000000 00000000000000000000000000000000 00000000000000000000000000000000 -00100000000000000001100000000000 -01000110000000000000000110000001 -00000000011000000000000000011000 -00000000000001100001000000000001 +01100000000000000001100100000000 +01000110000000000000000110010000 +00000000011001000000000000011000 +00000000000001100000000000000001 10000000000000000110000000000000 00011000000000000000011000000000 00000001100000000000000000000000 00000000000000000000000000000000 -00000000000000000000100000000100 -00100010000000010000100100000000 -01000010001000000001000010010000 +00000000000000000000110100000000 +00100010000000010000100101000000 +01000010001000000001000010010001 00000100001001000000000100001000 -10000000010000100010000000010000 +10000000010000100011000000010000 10001000000001000010010000000001 00001000100000000100001001100000 00010000100000000000000000000000 00000000000000000000000000000000 -00000000000000000010101100000101 -00001010110000010001001000100000 -01000100101010000001000100100010 -00000000010110001000000000010010 -10100000010001001011100000010001 +00000000000000000010101100000000 +01001011110000010001001000100000 +01000100101010000001000100100111 +00000000010010001000000100010010 +10100000010001001010100000010001 00101010000001000100100011000000 -00010010101100000100010010101100 +00010010101100000000010010101100 00010001000000000000000000000000 00000000000000000000000000000000 00001000110000000000110000000000 00010011000000000000010011000000 00000000001100000000000101001100 00000000000100110000000000010100 -11000000000001010011000000000001 -01001100000000000101001100000000 -00000100110000000000000100110000 -00000100000000000001000000000000 -00000000000000000000000000000000 -00000000110000000000000000000000 -00010000000000000000010100000000 -00000000000000000000000100010000 -00000100000101100000000000010000 +11000000000001010011000000000100 +00001100000000000101001100000000 +01000000110000000000000100110000 +00000000010000000001000000000000 +00000000000000000000000000000000 +00000000110000000000010000000000 +00010001000000000000010101000000 +00000000000000000000000100010100 +00000100001101100000000000010000 00000000000001000000000000000001 00000000000000000100010000000001 00000100000000000000000101000000 00000000010000000011000000000000 00000000000000000000000000000000 -00001000110000000100000000000010 +00001000110000000100000000000000 00010000000000001000010000000000 01100000000000000000100000000000 00000010000100000000000010000000 -00000000001000000000000100001000 +00000000001000000000000000001000 00000000000000100000000000000000 -10000100000000000010000101000000 -10001000000000000011000000000000 +10000000000000000010000100000000 +00001000010000000011000000000000 00000000000000000000000000000000 00001000110000000100000000000100 01100000000000010001100000000000 01000010000000000001000110000000 -00000100011000000000000110011000 +00000000011000000000000110011000 00000000010001100000000000010001 10000000000001000110000000000001 -00011000000000000100011001010000 +00011000000000000100011000000000 00010001100000000011000000000000 00000000000000000000000000000000 -00010000000000010100000000000010 +00010000000000000100000000000010 01100000000000001001100000000000 00100110000000000000100110000000 00000000011000100000000000011000 @@ -8478,17 +8478,17 @@ Bits: 336768 00110000100000010000110000100000 00000011000010000001000011000010 00000110001100001000000100001100 -00100000010000110000100010010000 +00100000010000110000100000010000 11000010000001000011000010000001 10001100001000000100001100001000 00010000110000000001000101010000 00000000000000000000000000000000 -01000000000000000000000000000000 +01000000010000000000000000000000 00110000000000000000110010000000 00000011000000000000000011001000 00000000001100000000000000001100 -00000100000000110000000100000000 -11000000000000000011001000010000 +00000000000000110000000000000000 +11000000000000000011001000000000 00001100000000000000001100000000 00000000110000000000000000000000 00000000000000000000000000000000 @@ -8496,16 +8496,16 @@ Bits: 336768 00110000100000000000110010110000 00000011000010000000000011001011 00000000001100001100000100001100 -00100010000000110000100000000000 +00100000000000110000100000000000 11000010000000000011001011000000 00001100001000000000001100001000 -00000000110000000000000000000000 +00000000110000000000000001000000 00000000000000000000000000000000 -01000000010001010100001000000100 -01100000100000010001100000110000 -00000010000010000001000110000011 +01000000010001010100000000000100 +01100000000000010001100000011000 +00000010000010000001000110000001 00000100011100001100000100011000 -00100000010001100000000000010001 +00100000010001100000100000010001 10000010000001000110000011000001 00011100001000000100011000001000 00010001100000000001000101010000 @@ -8525,204 +8525,204 @@ Bits: 336768 00000100001000001000000100001100 00100000010001100000100000010001 10000010000001000110000010000001 -00001000001000000100011000011000 +00001000001000000100011000001000 00010001100000000000000000000000 00000000000000000000000000000000 01000000010001010100000000000100 01010000000000010001010000000000 -01000001000000000001000101000000 +01010000000000000001000101000000 00000000000100000000000000000100 -00000000010001010000000010010001 -01000000000001000101000000001000 +00000000010001010000000000010001 +01000000000001000101000000000000 00000100000000000100010100000000 00010001010000100001000101010000 00000000000000000000000000000000 -01001000000000000000011000000000 +01001000000000000100011000000000 01000001100000000001000001100000 00000100000110000000000100000110 -00000000010000011000000000010000 +11000000010000011000000000010000 01100000000001000001100000000001 00000110000000000100000110000000 00010000011000000000010000011000 00000001000000000000000000000000 00000000000000000000000000000000 -01001000000000000000001000000001 -00000000100000000100000000100000 -00010010000010000000010000000010 -00000101000000001000000001000000 +01001000000000000000001000100001 +00000000100010000100000000101100 +00010000000010000000010000000010 +11000101000000001001000001000000 00100000000100000000100000000100 00000010000000010000000010000001 -01000000001000000001000000011000 +01000000001000000001000000001000 00000100000000000000000000000000 00000000000000000000000000000000 01000000010001010100011000000011 -01010001100000001101010001100000 +01010001100000001101010001100110 01110101000110000000110101000110 -00000011010100011000000011010100 +11000011010100011001000011010100 01100000001101010001100000001101 01000110000000110101000110000000 11010100011000000011010100011000 00001101010000000001000101010000 00000000000000000000000000000000 -00000000000000010100011000000100 -01110001100000010001110001100000 -01000111000110000001000111000110 -00000100011100011000000110011100 -01100000010001110101100000010001 +00000000000000010100011000100100 +01110001100010010001110001000010 +01000111000110000001000111000100 +10100100011100010010000110011100 +01100000010001110001100000010001 11000110000001000111000110000001 00011100011000000100011100011000 00010001110000000000000000000000 00000000000000000000000000000000 -01000000000000010100011000000000 -01110001100000001001110001100000 +01000000000000010000011000010111 +01110001100000001101110001100000 00110111000110000000110111000110 -00000001011100011000000001011100 -01100001001001110001100000001001 +00000001011100011000000000011100 +01100000001001110001100000001001 11000110000000100111000110000001 -01011100011000000110011100011000 +01011100011000000010011100011000 00001101110000000000000000000000 00000000000000000000000000000000 -01010000010001010100011000000001 -01110001100000010101110001100000 -00000011000110000001010010000110 -00000110001000011000000100011100 -01100000010101110101100000010101 +01010000010001010100011000000111 +00110001100000010100110001100100 +00000111000110000001010010000110 +00000110001100011000000100001100 +01100000010101110001100000010101 11000110000001010111000110000001 00001100011000000101011100011000 00010100110000100001000101010000 00000000000000000000000000000000 -01000000000000000001001000000001 -00100100100000000100100100100000 -00010110000010000000010010010010 -00000001001000001000000001001100 -00100000000100100000100000000100 +01000000000001000001001000000001 +01100100100000000101100100100100 +00010010000010000000010010010010 +00000001011000001000000001011100 +00100000000100100100100000000100 10000010000000010010010010000000 01011001001000000001001001001000 00000101100000000000000000000000 00000000000000000000000000000000 01000000000000000000011000000000 01100001100000000001100001100000 -00000110000110000000000110000110 +00000010000110000000000110000110 00000000001000011000000100011000 01100000000001100001100000000001 10000110000000000110000110000000 -00001000011000000100011000011000 +00001000011000000000011000011000 00000001100000000000000000000000 00000000000000000000000000000000 -00000000010001010110000000000100 +00000000010001010110000000000000 01111000000000010001111000000000 00000011100000000001000111100000 -00000100011110000000000100011110 +00000101001010000000000100011110 00000000010001111000000000010001 -11100000000001000111100000100001 +11100000000001000111100000000001 00011110000000000100011110000000 00010001110000000001000101010000 00000000000000000000000000000000 01000000000000010101001000000000 01100100100000000001100100100000 -01000010000010000000000110010010 +01000110000010000000000110010010 00000000011000001000000000011000 00100000000001100100100000000001 10000010000000000110010010000000 00011001001000000000011001001000 00000001100000000000000000000000 00000000000000000000000000000000 -01000000000000010100001000000100 -00100000100000010000100000100000 -01000110000010000001000010000010 +01000000000000000101001000000000 +00100100100000010000100100100000 +01000010000010000001000010010010 00000100001000001000000100001000 00100000010000100000100000010000 10000010000001000010000010000001 00001000001000000100001000001000 00010000100000000000000000000000 00000000000000000000000000000000 -01000000010001010100001000000100 -01000000100000010001000000110000 -01000101000010000001000100000010 +01000000010001010100001000000000 +01000000100000010001000000011010 +01010101000010000001000100000010 00000000010000001000000000010000 -00000000010001000000000000010001 -00000010000001000100000000000001 -00010000001000000000010000001000 +00100000010001000000100000010001 +00000010000001000100000010000001 +00010000001000000100010000001000 00010001000000000001000101010000 00000000000000000000000000000000 -01000000000000000000001000000000 -01010000100000000001010000100000 -00000101000011000000000101000010 +01000000010000000001001000000000 +01010100100000000001010100100000 +00000101000011000000000101010010 00000000010100001100000000010100 -00110010000001010000110010000001 +00110000000001010000110000000001 01000011000000000101000010000000 00010100001000000000010100001000 00000001010000000000000000000000 00000000000000000000000000000000 -01000000000000000000100000000100 -01100010000000000001000000000000 -00000100001000000000000100001000 +01000000000000000000100000000000 +01000010000000000001000100000100 +00000110001000000000000100001000 00000100010000100000000000010000 -10000100000001000010000100000001 -00001000000000000100001000010001 +10000000000001000010000000000001 +00001000000000000100001000000001 00010000100000000000010000100000 00000001000000000000000000000000 00000000000000000000000000000000 -01000000010001010100001000000000 -00000000100000001000000000100000 +01000000010001010100001000100010 +00000000100010001000000000100010 01100000000010000000100000000010 -00000010000000001000000010000000 -00100000001000000000100010001000 +10100010000000001010000010000000 +00100000001000000000100000001000 00000010000000100000000010000000 10000000001000000010000000001000 00001000000000000001000101010000 00000000000000000000000000000000 01000000000000010100000000000100 -01100000000000010001100000000000 +01100000000000010001100100000000 01000110000000000000000110000000 -00000000011000000000000110011000 +00000100011000000000000110011000 00000000010001100000000000010001 10000000000001000110000000000001 00011000000000000100011000000000 00010001100000000000000000000000 00000000000000000000000000000000 01000000000000010100000000000010 -01100000000000001001100100000000 +01100000000000001001100100000011 00100010000000000000100110000000 00000000001000000000000000011101 -00000000001001100100000000001001 +00000000011001100100000000001001 10000000000000100110010000000000 -00001000000000010010011000000000 +00001000000000000010011000000000 00001001100000000000000000000000 00000000000000000000000000000000 01000000010001010110000000000100 -00111000000000010000111000000000 +00111000000100010000111000000010 00000010100000000001000011100000 -00000010001010000000000100011010 -00000000010000111000000000010000 +10100110001010000000110100011010 +00000000011000111000000000010000 11100000000001000011100000000001 10001010000000000100001110000000 00010000110000000001000101010000 00000000000000000000000000000000 -01010000000000000000000100000000 -00110000010000000000110000010000 +01010000000000000000000100100000 +00110000010000000000110001010000 00000010000001000000000011000001 00000000001000000100000000001000 -00010000000000110000010010000000 +00110000000000110000010000000000 11000001000000000011000001000000 00001000000100000000001100000100 00000000110000000000000000000000 00000000000000000000000000000000 01000000000000000000010000000000 -00110001000000000000110101000000 +00110001000000000000110101000010 00000010000101000000000011000100 -00000000001000010100000100001100 -01010000000000110001010000000000 -11000101000000000011000110000000 +00000000001000010100000100001101 +01010000000000110101010000000000 +11000101000000000011010100000000 00001000010000000000001100010000 00000000110000100000000000000000 00000000000000000000000000000000 01000000010001010100001100000100 -01100000110000010001100000110000 -00000110000011000001000110000011 -00000100011000001100000100011001 -00110000010001100100110000010001 -10000011000001000110010011000001 +01100000110000010001100001110000 +00010010000011000001000110000011 +00000100011000001100000100011000 +00110000000001100001110000010001 +10000011000001000110000111000001 00011000001100000100011000001100 00010001100000000001000101010000 00000000000000000000000000000000 @@ -8730,60 +8730,60 @@ Bits: 336768 00100000000000000000100000000000 01000010000000000000000010000000 00000000001000000000000000001000 -01000000000000100001000000000000 -10000000000000000010000100000000 +01000000000000100000000000000000 +10000000000000000010000000000000 00001000000000000000001000000000 00000000100000000000000000000000 00000000000000000000000000000000 01000000000000010100100001000100 01100010000100010001100000000100 -01000110001000010001000110001000 -01000100011000100001000100011001 -10000000010001100110000000010001 -10001000010001000110011000000001 +01000010001000010001000110001000 +01000100011000100001000100011000 +10000000000001100010000000010001 +10001000010001000110001000000001 00011000100001000100011000100001 00010001100000000000000000000000 00000000000000000000000000000000 01000000010001010100000001000100 -01010000000100010001010000000100 +01010000000100010001010001000110 01000101000000010001000101000000 -01000000010100000001000000010100 -01000100010001010000010000010001 -01000000010001000101000000010000 +01000001000000000001000000010100 +00000100000001010000010000010001 +01000000010001000101000001010000 00010100000001000100010100000001 00010001010000000001000101010000 00000000000000000000000000000000 01000000000000000000100000100000 -01000010000010000001000010000010 +01000010000010000001000010001010 00000101001000001000000100001000 -00100000010000100000100000010000 +10100000010000100000100000010000 10000010000001000010000010000001 00001000001000000100001000001000 00010000100000100000010000100000 00000001000000000000000000000000 00000000000000000000000000000000 -00000000000000000000101000000001 -00000010100000000100000010110000 +00000000000000000100101000000001 +00000010100000000100000010100000 00000000001010000000010000001010 -00000101000000101000000001000000 +01000101000000101000100001000000 10100000000100000010100000000100 00001010000000010000001010000001 01000000101000000001000000101000 00000100000000000000000000000000 00000000000000000000000000000000 -01000000010001010100110100000011 -01010011010000001101010011010000 -01100001001101000000110101001101 -00000001010100110100000011010100 +01000000000001010100110100000011 +01010011010010001101010011010010 +00000001001101000000110101001101 +01000011010100110100000011010100 11010000001101010011010000001101 01001101000000110101001101000000 11010100110100000011010100110100 00001101010000000001000101010000 00000000000000000000000000000000 -01000000000000010100100000000100 -01110010000000010001110010010000 -01000111001000000001000111001000 -00000100011100100000000110011100 +01000000000000010100100001000000 +01110010000000010001110010000000 +01010111001000000001000111001000 +10000100011100100000000110011100 10000000010001110010000000010001 11001000000001000111001000000001 00011100100000000100011100100000 @@ -8794,9 +8794,9 @@ Bits: 336768 00000100100011000110000100100011 00000000010010001100010000010000 00110001100001000000110000100001 -00100011000110000100000011001001 -00010010001100101000010010001100 -10000001000000000000000000000000 +00100011000110000100000011000000 +00010010001100001000010010001100 +00000001000000000000000000000000 00000000000000000000000000000000 00000000000000000011111111111111 01001111111111111101001111111111 @@ -8807,30 +8807,30 @@ Bits: 336768 11010011111111111111010011111111 11111101000000000000000000000000 00000000000000000000000000000000 +00000000000000000000000001000000 +00000000000100000000000010000100 +00100000000000000000000000000000 +01000010000000000000000010000000 00000000000000000000000000000000 00000000000000000000000000000000 00000000000000000000000000000000 00000000000000000000000000000000 00000000000000000000000000000000 -00000000000000000000000000000000 -00000000000000000000000000000000 -00000000000000000000000000000000 -00000000000000000000000000000000 -00000000000000000010110011011011 -00001011001101101100001011001101 -10110000101100110110110000101100 -11011011000010110011011011000011 -11101101101100001111111111101100 -00101100110110110000111110110110 +00000000000000000010110111111011 +00001011011111101100001111111111 +11110100101100110110110000101101 +11111111010010110011011111010010 +01001101101100001001001001101100 +00101100110110110000100100110110 11000010110011011011000010110011 01111101000000000000000000000000 00000000000000000000000000000000 -00000000000000000011001100111100 -01001100110011110001001100110011 -11000100110011001111000100110011 -00111100010011001100111100010011 -11110011110001001111111111110001 -00110011001111000100111111001111 +00000000000000000011001111111100 +01001100111111110001001111111111 +11110100110011001111000100110011 +11111111010011001100111111010011 +01010011110001001101001011110001 +00110011001111000100110101001111 00010011001100111100010011001100 11111101000000000000000000000000 00000000000000000000000000000000 @@ -8838,14 +8838,14 @@ Bits: 336768 01001110110001111001001000110001 10000100111011011111100100111011 00011000010011101101111000010011 -11110111111001001111111111111001 -00111011011111100100111111000110 +10010111111001001110000111111001 +00111011011111100100111001000110 00010011101100011110010011101100 -01111101000000000000000000000000 +01111001000000000000000000000000 00000000000000000000000000000000 00000000000000000000001010000100 00000000101000010000000000101000 -01000000000010100001000000000010 +01000000000010100001110011000010 10000100000000001010000100000000 00101000010000000000101000010000 00000010100001000000000010100001 @@ -8854,25 +8854,25 @@ Bits: 336768 00000000000000000000000000000000 00000000000000000000000000000100 00000000000000010000000000000000 -01000000000000000001000000000000 -00000100000000000000000100000000 -00000000010000000000000000010000 -00000000000001000000000000000001 -00000000000000000100000000000000 +01000000001000000001000000001000 +00000100000000100000000100000000 +10000000010000000010000000010000 +00001000000001000000001000000001 +00000000100000000100000000000000 00010000000000000000000000000000 00000000000000000000000000000000 00000000000000000000000010000100 00000000001000010000000000001000 -01000000000000100001000000001000 +01000000000000100001000000000000 10000100000000000010000100000000 -10001000010000000010001000010000 +00001000010000000000001000010000 00000000100001000000000000100001 00000000000010000100000000000010 00010000000000000000000000000000 00000000000000000000000000000000 00000000000000000000000000000100 00000000000000010000000000000000 -01000000000000000001000000000000 +01000000000000000001110011000000 00000100000000000000000100000000 00000000010000000000000000010000 00000000000001000000000000000001 @@ -8882,7 +8882,7 @@ Bits: 336768 00000000000000000000000010000000 00000000001000000000000000001000 00000000000000100000000000000000 -10000000000000000010000000000000 +10000011001100000010000000000000 00001000000000000000001000000000 00000000100000000000000000100000 00000000000010000000000000000010 @@ -8909,10 +8909,10 @@ Bits: 336768 00001000000000000000100000000000 00000010000000000000000010000000 00000000001000000000000000001000 -00000000000000100000000000000000 +00000011001100100000000000000000 10000000000000000010000000000000 00001000000000000000001000000000 -00000000100000000000000000000000 +00000000100000000000000000100000 00000000000000100000000000000000 00000000000000000000000000000000 00001000000000000000101010000100 @@ -8944,17 +8944,17 @@ Bits: 336768 00000000000000000000000000000000 00001000000100000000000000000111 00110000000000011100110000000000 -01110011000000000001000000000000 +01110011000000000001110011000000 00000111001100000000000111001100 00000000011100110000000000011100 11000000000001110011000000000001 -00000000000000000111001100000000 +11001100000000000111001100000000 00011100110000100000010000000000 00000000000000000000000000000000 00001000000000000000000010000100 00000000001000010000000000001000 -01000000000000100001000000000000 -10000100000000000010000100000000 +01000000000000100001110011000000 +10000111001100000010000111001100 00001000010000000000001000010000 00000000100001000000000000100001 00000000000010000100000000000010 @@ -8962,8 +8962,8 @@ Bits: 336768 00000000000000000000000000000000 00000000000000000000001000000000 00000000100000000000000000100000 -00000000000010000000000000000010 -00000000000000001000000000000000 +00000000000010000001000000000010 +00000100000000001000000100000000 00100000000000000000100000000000 00000010000000000000000010000000 00000000001000000000000000001000 @@ -8971,8 +8971,8 @@ Bits: 336768 00000000000000000000000000000000 00000000000000000000000010000000 00000000001000000000000000001000 -00000000000000100000000000000000 -10000000000000000010000000000000 +00000000000000100001000000000000 +10000100000000000010000100000000 00001000000000000000001000000000 00000000100000000000000000100000 00000000000010000000000000000010 @@ -8980,8 +8980,8 @@ Bits: 336768 00000000000000000000000000000000 00000000000100001000000000000000 00000000000000000000000000000000 -00000000000000000000000000000000 -00000000000000000000000000000000 +00000000000000000000110011000000 +00000011001100000000000011001100 00000000000000000000000000000000 00000000000000000000000000000000 00000000000000000000000000000000 @@ -9097,18 +9097,18 @@ Bits: 336768 00000000000000000000000000000000 00000000000000000000000000000100 00000000000000010000000000000000 -01000000000000000001000000000000 +01000000001000000001000000000000 00000100000000000000000100000000 -00000000010000000010000000010000 +10000000010000000010000000010000 00001000000001000000001000000001 00000000100000000100000000100000 00010000000000000000000000000000 00000000000000000000000000000000 -00000000000000000000000010000100 -00000000001000010000000000001000 -01000000000000100001000000000000 -10000100000000000010000100000000 -00001000010000000000001000010000 +00000000000000000000100010000100 +00000010001000010000000010001000 +01000000001000100001000000001000 +10000100000000100010000100000000 +10001000010000000000001000010000 00000000100001000000000000100001 00000000000010000100000000000010 00010000000000000000000000000000 @@ -9149,18 +9149,18 @@ Bits: 336768 00000000100010000000000000100010 00000000000000100000000000000000 00000000000000000000000000000000 -00001000000000000000100000000000 -00000010000000000000000010000000 -00000000001000000000000000001000 -00000000000000100000000000000000 -00000000000000000000000000000000 00001000000000000000000000000000 +00000000000000000000000000000000 +00000000001000000000000000000000 +00000000000000000000000000000000 +10000000000000000010000000000000 +00001000000000000000001000000000 00000000100000000000000000100000 00000000000000100000000000000000 00000000000000000000000000000000 00001000000000000000101010000100 00000010101000010000000010101000 -01000000001010100001000000001010 +01110011001010100001000000001010 10000100000000101010000100000000 10101000010000000010101000010000 00001010100001000000001010100001 @@ -9178,7 +9178,7 @@ Bits: 336768 00000000000000000000000000000000 00000000000000000000000010000100 00000000001000010000000000001000 -01000000000000100001000000000000 +00000000000000100001000000000000 10000100000000000010000100000000 00001000010000000000001000010000 00000000100001000000000000100001 @@ -9187,8 +9187,8 @@ Bits: 336768 00000000000000000000000000000000 00001000000100000000000000000111 00110000000000011100110000000000 -01110011000000000001110011000000 -00000111001100000000000111001100 +01000000000000000001110011000000 +00000100000000000000000111001100 00000000011100110000000000011100 11000000000001110011000000000001 11001100000000000111001100000000 @@ -10506,7 +10506,7 @@ Bits: 336768 00000000000000000000000000000000 00000000000000000000000000000000 00110000000000000000000000000001 -00000000000000000001010100111001 +00000000000000001011100001100010 00110000000000001000000000000001 00000000000000000000000000000011 00110000000000000100000000001001 diff --git a/pciradio.ucf b/pciradio.ucf deleted file mode 100755 index ec7daad..0000000 --- a/pciradio.ucf +++ /dev/null @@ -1,129 +0,0 @@ -NET "A<0>" LOC = "P82"; -NET "A<1>" LOC = "P83"; -NET "A<2>" LOC = "P84"; -NET "A<3>" LOC = "P86"; -NET "D<0>" LOC = "P73"; -NET "D<1>" LOC = "P70"; -NET "D<2>" LOC = "P69"; -NET "D<3>" LOC = "P66"; -NET "D<4>" LOC = "P60"; -NET "D<5>" LOC = "P57"; -NET "D<6>" LOC = "P56"; -NET "D<7>" LOC = "P53"; -NET "LED<0>" LOC = "P55" ; -NET "LED<1>" LOC = "P58" ; -NET "LED<2>" LOC = "P59" ; -NET "LED<3>" LOC = "P62" ; -NET "LED<4>" LOC = "P65" ; -NET "LED<5>" LOC = "P67" ; -NET "LED<6>" LOC = "P68" ; -NET "LED<7>" LOC = "P71" ; -NET "CLK0" LOC = "P39" ; -NET "CLK" LOC = "P34" ; -NET "FS<0>" LOC = "P22" ; -NET "FS<1>" LOC = "P30" ; -NET "FS<2>" LOC = "P31" ; -NET "FS<3>" LOC = "P32" ; -NET "READ" LOC = "P88" ; -NET "WRITE" LOC = "P36" ; -NET "TJFSC" LOC = "P93" ; -NET "led<0>" DRIVE = 24; -NET "led<1>" DRIVE = 24; -NET "led<2>" DRIVE = 24; -NET "led<3>" DRIVE = 24; -NET "led<4>" DRIVE = 24; -NET "led<5>" DRIVE = 24; -NET "led<6>" DRIVE = 24; -NET "led<7>" DRIVE = 24; -NET "led<0>" IOSTANDARD = LVTTL; -NET "led<1>" IOSTANDARD = LVTTL; -NET "led<2>" IOSTANDARD = LVTTL; -NET "led<3>" IOSTANDARD = LVTTL; -NET "led<4>" IOSTANDARD = LVTTL; -NET "led<5>" IOSTANDARD = LVTTL; -NET "led<6>" IOSTANDARD = LVTTL; -NET "led<7>" IOSTANDARD = LVTTL; -NET "cor<0>" LOC = "p18"; -NET "cor<1>" LOC = "p19"; -NET "cor<2>" LOC = "p20"; -NET "cor<3>" LOC = "p21"; -NET "cs<0>" LOC = "p44"; -NET "cs<1>" LOC = "p45"; -NET "cs<2>" LOC = "p46"; -NET "cs<3>" LOC = "p47"; -NET "din" LOC = "p41"; -NET "dout" LOC = "p43"; -NET "irq" LOC = "p54"; -NET "ptt<0>" LOC = "p13"; -NET "ptt<1>" LOC = "p15"; -NET "ptt<2>" LOC = "p16"; -NET "ptt<3>" LOC = "p17"; -NET "sclk" LOC = "p40"; -NET "test<0>" LOC = "p95"; -NET "test<1>" LOC = "p96"; -NET "test<2>" LOC = "p97"; -NET "test<3>" LOC = "p98"; -NET "a<0>" IOSTANDARD = LVTTL; -NET "a<1>" IOSTANDARD = LVTTL; -NET "a<2>" IOSTANDARD = LVTTL; -NET "a<3>" IOSTANDARD = LVTTL; -NET "clk" IOSTANDARD = LVTTL; -NET "clk0" IOSTANDARD = LVTTL; -NET "cor<0>" IOSTANDARD = LVTTL; -NET "cor<1>" IOSTANDARD = LVTTL; -NET "cor<2>" IOSTANDARD = LVTTL; -NET "cor<3>" IOSTANDARD = LVTTL; -NET "cs<0>" IOSTANDARD = LVTTL; -NET "cs<1>" IOSTANDARD = LVTTL; -NET "cs<2>" IOSTANDARD = LVTTL; -NET "cs<3>" IOSTANDARD = LVTTL; -NET "d<0>" IOSTANDARD = LVTTL; -NET "d<1>" IOSTANDARD = LVTTL; -NET "d<2>" IOSTANDARD = LVTTL; -NET "d<3>" IOSTANDARD = LVTTL; -NET "d<4>" IOSTANDARD = LVTTL; -NET "d<5>" IOSTANDARD = LVTTL; -NET "d<6>" IOSTANDARD = LVTTL; -NET "d<7>" IOSTANDARD = LVTTL; -NET "din" IOSTANDARD = LVTTL; -NET "dout" IOSTANDARD = LVTTL; -NET "fs<0>" IOSTANDARD = LVTTL; -NET "fs<1>" IOSTANDARD = LVTTL; -NET "fs<2>" IOSTANDARD = LVTTL; -NET "fs<3>" IOSTANDARD = LVTTL; -NET "irq" IOSTANDARD = LVTTL; -NET "ptt<0>" IOSTANDARD = LVTTL; -NET "ptt<1>" IOSTANDARD = LVTTL; -NET "ptt<2>" IOSTANDARD = LVTTL; -NET "ptt<3>" IOSTANDARD = LVTTL; -NET "read" IOSTANDARD = LVTTL; -NET "sclk" IOSTANDARD = LVTTL; -NET "test<0>" IOSTANDARD = LVTTL; -NET "test<1>" IOSTANDARD = LVTTL; -NET "test<2>" IOSTANDARD = LVTTL; -NET "test<3>" IOSTANDARD = LVTTL; -NET "tjfsc" IOSTANDARD = LVTTL; -NET "write" IOSTANDARD = LVTTL; -NET "cor<0>" PULLUP; -NET "cor<1>" PULLUP; -NET "cor<2>" PULLUP; -NET "cor<3>" PULLUP; -NET "uioa<0>" LOC = "p3"; -NET "uioa<1>" LOC = "p4"; -NET "uioa<2>" LOC = "p5"; -NET "uioa<3>" LOC = "p6"; -NET "uiob<0>" LOC = "p7"; -NET "uiob<1>" LOC = "p8"; -NET "uiob<2>" LOC = "p9"; -NET "uiob<3>" LOC = "p10"; -NET "uioa<0>" IOSTANDARD = LVTTL; -NET "uioa<1>" IOSTANDARD = LVTTL; -NET "uioa<2>" IOSTANDARD = LVTTL; -NET "uioa<3>" IOSTANDARD = LVTTL; -NET "uiob<0>" IOSTANDARD = LVTTL; -NET "uiob<1>" IOSTANDARD = LVTTL; -NET "uiob<2>" IOSTANDARD = LVTTL; -NET "uiob<3>" IOSTANDARD = LVTTL; -NET "write" IOSTANDARD = LVTTL; -NET "clk0" IOSTANDARD = LVTTL; -NET "rst" IOSTANDARD = LVTTL; diff --git a/pciradio.vhd b/pciradio.vhd deleted file mode 100755 index bfec48f..0000000 --- a/pciradio.vhd +++ /dev/null @@ -1,169 +0,0 @@ --- Prototype VHDL for Zapata Telephony PCI Radio Card, Rev. A ver 0.2 11/22/04 --- Author: Jim Dixon, WB6NIL --- --- Copyright (c) 2001-2002, Jim Dixon. --- --- Jim Dixon --- --- This program is free software, and the design, schematics, layout, --- and artwork for the hardware on which it runs is free, and all are --- distributed under the terms of the GNU General Public License. --- - --- Implements the following registers: --- --- Offset 0, Write --- Bit 0 - SCLK --- Bit 1 - DIN --- Bit 4-7 CS0 thru CS3 --- --- Offset 1, Write --- Bit 0-3 - PTT 0-3 --- Bit 4-7 - Test 0-3 --- --- Offset 2, Write --- Bit 0-7 - LED's --- --- Offset 0, Read --- Bit 0-3 - COR 0-3 --- Bit 4 - DOUT --- --- Offset 1, Read --- Bit 0-3 - UIOA0-3 --- Bit 4-7 - UIOB0-3 - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_ARITH.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - --- Uncomment the following lines to use the declarations that are --- provided for instantiating Xilinx primitive components. ---library UNISIM; ---use UNISIM.VComponents.all; - -entity pciradio is - Port ( CLK0 : in std_logic; - RST : in std_logic; - CLK : out std_logic; - FS : out std_logic_vector(3 downto 0); - WRITE : in std_logic; - READ : in std_logic; - A : in std_logic_vector(3 downto 0); - D : inout std_logic_vector(7 downto 0); - LED : out std_logic_vector(7 downto 0); - COR : in std_logic_vector(3 downto 0); - PTT : out std_logic_vector(3 downto 0); - TEST : out std_logic_vector(3 downto 0); - UIOA : in std_logic_vector(3 downto 0); - UIOB : in std_logic_vector(3 downto 0); - CS : out std_logic_vector(3 downto 0); - SCLK : out std_logic; - DIN : out std_logic; - DOUT : in std_logic; - IRQ : in std_logic; - TJFSC : out std_logic ); -end pciradio; - -architecture Behavioral of pciradio is - -component IBUFG - port - ( - I : in std_logic; - O : out std_logic - ); -end component; - - - -signal counter: std_logic_vector(8 downto 0); -signal WR,RD : std_logic; -signal DBW,DBR : std_logic_vector(7 downto 0); - -begin -ibufg_rd : IBUFG - port map - ( - I => READ, - O => RD - ); - -ibufg_wr : IBUFG - port map - ( - I => WRITE, - O => WR - ); - -CLK <= not counter(0); - -doclk: process(CLK0) -begin - if CLK0'event and CLK0='0' then - counter <= counter + 1; - end if; -end process; - -makefs: process(counter) -begin - TJFSC <= '0'; - if (counter = "00000000") then - TJFSC <= '1'; - elsif (counter = "111111110") then - FS <= "0001"; - elsif (counter = "000001110")then - FS <= "0010"; - elsif (counter = "000011110") then - FS <= "0100"; - elsif (counter = "000101110") then - FS <= "1000"; - else FS <= "0000"; - end if; -end process; - - -iowrite: process(A,WR) -begin - if(RST = '0') then - TEST <= "0000"; - PTT <= "1111"; - LED <= "00000000"; - CS <= "1111"; - DIN <= '0'; - SCLK <= '0'; - elsif(WR'event) and (WR = '1') then - if(A = "0001") then - TEST <= DBW(7 downto 4); - PTT <= not DBW(3 downto 0); - elsif(A = "0010") then - LED <= DBW; - elsif(A = "0000") then - SCLK <= DBW(0); - DIN <= DBW(1); - CS <= DBW(7 downto 4); - end if; - end if; -end process iowrite; - -rdmux : process(A) -begin - if(A = "0000") then - DBR(3 downto 0) <= COR; - DBR(4) <= DOUT; - DBR(5) <= IRQ; - DBR(7 downto 6) <= "00"; - elsif(A = "0001") then - DBR(3 downto 0) <= UIOA; - DBR(7 downto 4) <= UIOB; - else - DBR <= "00000000"; - end if; -end process rdmux; - - -D <= DBR when RD = '0' else "ZZZZZZZZ"; -DBW <= D; - -end Behavioral; - diff --git a/pciradio_vhdl.tar.gz b/pciradio_vhdl.tar.gz new file mode 100755 index 0000000..c56ba1d Binary files /dev/null and b/pciradio_vhdl.tar.gz differ diff --git a/zaptel.h b/zaptel.h index 8616169..4bbf2b7 100755 --- a/zaptel.h +++ b/zaptel.h @@ -1473,7 +1473,8 @@ struct zt_radio_stat { struct zt_radio_param { unsigned short radpar; /* param identifier */ unsigned short index; /* tone number */ - int data; /* pointer to param */ + int data; /* param */ + int data2; /* param 2 */ }; @@ -1522,9 +1523,6 @@ struct zt_radio_param { #define ZT_RADPAR_BURSTTIME 13 /* end of transmit with no CT tone in milliseconds (0-999) */ -#if 0 -The following are not implemented in the prototype version of the card: -(UIO will always be an input for external CTCSS decode input) #define ZT_RADPAR_UIODATA 14 /* read/write UIOA and UIOB data. Bit 0 is UIOA, bit 1 is UIOB */ @@ -1535,12 +1533,11 @@ The following are not implemented in the prototype version of the card: mode for UIOA is overridden when in EXTRXTONE mode. */ -#define ZT_RADPAR_EXTDATA 16 /* read/write external byte, set index also - (0-2) */ -#define ZT_RADPAR_EXTMODE 17 /* set mode for external byte, bitwise a 1 - means write, and 0 means read, set index - also (0-2) */ +#define ZT_RADPAR_REMMODE 16 /* Remote control data mode */ + #define ZT_RADPAR_REM_NONE 0 /* no remote control data mode */ + #define ZT_RADPAR_REM_RBI1 1 /* Doug Hall RBI-1 data mode */ + +#define ZT_RADPAR_REMCOMMAND 17 /* Remote conrtol write data block & do cmd */ -#endif #endif /* _LINUX_ZAPTEL_H */ diff --git a/ztcfg.c b/ztcfg.c index f780a4a..52a09a1 100755 --- a/ztcfg.c +++ b/ztcfg.c @@ -806,7 +806,7 @@ int cor_thresh(char *keyword, char *args) if (res != 1) { error("Invalid value '%s', should be a number > 0.\n", realargs[0]); } - corthresh = x; + corthresh = x + 1; return 0; } @@ -881,11 +881,13 @@ static int rad_chanconfig(char *keyword, char *args) return -1; for (x=1;x