From 2dfa9e1b2997fe4b67c5e6735c4803865a38c9d5 Mon Sep 17 00:00:00 2001 From: tzafrir Date: Tue, 18 Dec 2007 15:36:20 +0000 Subject: xpp r5151: * xpd_pri: Basically ready. * PCM synchronization changes: - Each Astribank unit ticks independently. Each with its own PLL. - HOST synchronization is gone. Loading of xpp will no longer cause useless 250 ticks per second if you have no Astribank. - Synchronization from the zaptel sync master requires setting ZAPTEL as sync source (xpp_sync ZAPTEL). * rx_tasklet is now a parameter of the module xpp, rather than of xpp_usb. * New FPGA firmware: 5128 (1151) / 5122 (1141, 1131): - Fixes synchronization issues. - PRI module: E1 should now work. * perl module and utilities: - Modules no longer magically scan system on initialization. - Scanning is by calling explicit methods. - "Serial" has been renamed "Label". It is basically unique, but should be modifieble. - Some basic documentation of zaptel perl modules. * Default sort order of zt_registration is back to SORT_CONNCTOR. * zt_registration proc file now shows the number of span registered to if registered. Try: grep . /proc/xpp/XBUS-*/XPD-*/zt_registration * genzaptelconf: Allow using a custom command instead of /etc/init.d/asterisk to start/stop asterisk. * Fixed the typo "Slagish". Merged revisions 3506 via svnmerge from http://svn.digium.com/svn/zaptel/branches/1.2 git-svn-id: http://svn.digium.com/svn/zaptel/branches/1.4@3508 5390a7c7-147a-4af0-8ec9-7488f05a26cb --- xpp/utils/zaptel_drivers | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'xpp/utils/zaptel_drivers') diff --git a/xpp/utils/zaptel_drivers b/xpp/utils/zaptel_drivers index 5cd890d..d7904c0 100755 --- a/xpp/utils/zaptel_drivers +++ b/xpp/utils/zaptel_drivers @@ -5,5 +5,5 @@ BEGIN { my $dir = dirname($0); unshift(@INC, "$dir", "$dir/zconf"); } use Zaptel::Hardware; -Zaptel::Hardware->scan_hardware(); -print join("\n", Zaptel::Hardware->drivers),"\n"; +my $hardware = Zaptel::Hardware->scan; +print join("\n", $hardware->drivers),"\n"; -- cgit v1.2.3