summaryrefslogtreecommitdiff
path: root/kernel/xpp/firmwares/PIC_TYPE_4.hex
diff options
context:
space:
mode:
Diffstat (limited to 'kernel/xpp/firmwares/PIC_TYPE_4.hex')
-rw-r--r--kernel/xpp/firmwares/PIC_TYPE_4.hex316
1 files changed, 316 insertions, 0 deletions
diff --git a/kernel/xpp/firmwares/PIC_TYPE_4.hex b/kernel/xpp/firmwares/PIC_TYPE_4.hex
new file mode 100644
index 0000000..6419870
--- /dev/null
+++ b/kernel/xpp/firmwares/PIC_TYPE_4.hex
@@ -0,0 +1,316 @@
+#
+# $Id: PIC_TYPE_4.hex 7107 2009-05-18 12:35:20Z dima $
+#
+:03000000A0AA0AA9
+:03000100C41C41DB
+:030002000390392F
+:0300030067967984
+:03000400A03A031C
+:03000500C40C40E8
+:030006000390392B
+:030007007797796F
+:03000800A07A07D4
+:030009008008006C
+:03000A00000C00E7
+:03000B00000039B9
+:03000C00000038B9
+:03000D00000CFFE5
+:03000E000002C825
+:03000F00000A0ED6
+:03001000000C00E1
+:03001100000038B4
+:03001200000C17C8
+:03001300000034B6
+:0300140000002BBE
+:03001500000C08D4
+:03001600000038AF
+:03001700000219CB
+:03001800000E07D0
+:03001900000020C4
+:03001A0000036080
+:03001B000003607F
+:03001C000003607E
+:03001D000003607D
+:03001E00000C10C3
+:03001F00000038A6
+:03002000000219C2
+:03002100000E07C7
+:03002200000120BA
+:03002300000C408E
+:0300240000018058
+:03002500000E705A
+:030026000007438D
+:03002700000A29A3
+:03002800000A2C9F
+:03002900000C01C7
+:03002A000000389B
+:03002B00000A2B9D
+:03002C00000C18AD
+:03002D0000003898
+:03002E00000219B4
+:03002F00000E0FB1
+:0300300000002E9F
+:03003100000C00C0
+:0300320000003893
+:03003300000C00BE
+:03003400000024A5
+:0300350000002D9B
+:0300360000002C9B
+:03003700000C902A
+:030038000000299C
+:03003900000C00B8
+:03003A00000021A2
+:03003B00000C8234
+:03003C000000229F
+:03003D00000201BD
+:03003E000000328D
+:03003F00000202BA
+:030040000000318C
+:03004100000209B1
+:030042000000308B
+:03004300000901B0
+:03004400000C832A
+:0300450000002296
+:03004600000201B4
+:0300470000003284
+:03004800000202B1
+:0300490000003183
+:03004A00000209A8
+:03004B0000003082
+:03004C00000901A7
+:03004D00000C04A0
+:03004E0000008E21
+:03004F00000703A4
+:03005000000A544F
+:0300510000020E9C
+:0300520000002F7C
+:03005300000A564A
+:03005400000C0499
+:0300550000002F79
+:0300560000036F35
+:0300570000036F34
+:0300580000036F33
+:0300590000036F32
+:03005A00000C0196
+:03005B0000012F72
+:03005C0000020F90
+:03005D0000003070
+:03005E00000C0093
+:03005F000000316D
+:03006000000C028F
+:0300610000003963
+:03006200000C008F
+:0300630000003961
+:03006400000C404D
+:030065000000395F
+:030066000007D9B7
+:03006700000AB6D6
+:03006800000C0089
+:030069000007D3BA
+:03006A00000A6C1D
+:03006B00000D8005
+:03006C0000002170
+:03006D000002147A
+:03006E00000E3051
+:03006F0000030883
+:0300700000030882
+:0300710000030881
+:0300720000030880
+:0300730000012168
+:0300740000020186
+:0300750000003256
+:0300760000021570
+:0300770000003155
+:030078000002176C
+:0300790000003054
+:03007A0000090179
+:03007B00000C2155
+:03007C00000195EB
+:03007D0000074336
+:03007E00000A91E4
+:03007F000006D3A5
+:03008000000A91E2
+:03008100000C016F
+:0300820000002A51
+:0300830000020177
+:03008400000E0368
+:030085000006432F
+:03008600000A8BE2
+:0300870000036A09
+:030088000002C8AB
+:03008900000D0067
+:03008A00000A85E4
+:03008B00000CFF67
+:03008C0000018AE6
+:03008D0000014C23
+:03008E000006D792
+:03008F0000010A63
+:0300900000002C41
+:030091000007D392
+:03009200000AB1B0
+:03009300000C500E
+:0300940000003930
+:03009500000799C8
+:03009600000A95C8
+:0300970000021252
+:0300980000003035
+:030099000002144E
+:03009A0000003132
+:03009B000002134D
+:03009C000000322F
+:03009D00000C0054
+:03009E000000332C
+:03009F00000C70E2
+:0300A00000003924
+:0300A100000C5000
+:0300A20000003922
+:0300A30000021543
+:0300A40000003029
+:0300A50000021640
+:0300A60000003126
+:0300A70000021044
+:0300A80000003223
+:0300A900000C0048
+:0300AA0000003320
+:0300AB00000C70D6
+:0300AC0000003918
+:0300AD00000C50F4
+:0300AE0000003916
+:0300AF00000C4002
+:0300B00000003914
+:0300B100000C0040
+:0300B20000003912
+:0300B300000C003E
+:0300B400000C003D
+:0300B500000A64DA
+:0300B60000036DD7
+:0300B70000020440
+:0300B800000E0334
+:0300B900000743FA
+:0300BA00000ABD7C
+:0300BB00000C0135
+:0300BC0000002D14
+:0300BD00000C0034
+:0300BE0000002916
+:0300BF0000020438
+:0300C000000D80B0
+:0300C1000000211B
+:0300C200000C62CD
+:0300C30000002218
+:0300C40000020136
+:0300C50000003206
+:0300C60000020233
+:0300C70000003105
+:0300C8000002092A
+:0300C90000003004
+:0300CA0000090129
+:0300CB0000020C24
+:0300CC0000014DE3
+:0300CD00000643E7
+:0300CE00000AD154
+:0300CF000002101C
+:0300D000000AD251
+:0300D100000C0020
+:0300D20000002506
+:0300D30000020424
+:0300D400000D809C
+:0300D50000002107
+:0300D600000C63B8
+:0300D70000002204
+:0300D80000020122
+:0300D900000032F2
+:0300DA000002021F
+:0300DB00000031F1
+:0300DC0000020916
+:0300DD00000030F0
+:0300DE0000090115
+:0300DF0000020C10
+:0300E00000014DCF
+:0300E100000643D3
+:0300E200000AE52C
+:0300E30000021008
+:0300E400000AE629
+:0300E500000C000C
+:0300E600000026F1
+:0300E700000C000A
+:0300E800000027EE
+:0300E900000C0107
+:0300EA00000145CD
+:0300EB00000643C9
+:0300EC00000B1AEC
+:0300ED00000C7094
+:0300EE000001C747
+:0300EF00000022EC
+:0300F0000002010A
+:0300F100000032DA
+:0300F20000020207
+:0300F300000031D9
+:0300F400000209FE
+:0300F500000030D8
+:0300F600000901FD
+:0300F700000C50AA
+:0300F800000039CC
+:0300F90000079964
+:0300FA00000AF900
+:0300FB00000C00F6
+:0300FC00000030D1
+:0300FD00000204FA
+:0300FE00000348B4
+:0300FF00000348B3
+:03010000000348B1
+:03010100000348B0
+:03010200000D05E8
+:03010300000031C8
+:03010400000C00EC
+:03010500000032C5
+:03010600000C00EA
+:03010700000033C2
+:03010800000C7078
+:03010900000039BA
+:03010A00000C5096
+:03010B00000039B8
+:03010C00000202EC
+:03010D00000030BF
+:03010E00000C00E2
+:03010F00000031BC
+:03011000000210DA
+:03011100000032B9
+:03011200000C00DE
+:03011300000033B6
+:03011400000C706C
+:03011500000039AE
+:03011600000C508A
+:03011700000039AC
+:03011800000C4098
+:03011900000039AA
+:03011A00000325BA
+:03011B00000C01D4
+:03011C000001E7F8
+:03011D00000C08CB
+:03011E0000008757
+:03011F0000074393
+:03012000000B23AE
+:03012100000206D3
+:03012200000025B5
+:03012300000C10BD
+:0301240000008751
+:030125000007438D
+:03012600000AE9E3
+:03012700000C01C8
+:030128000001C40F
+:03012900000E03C2
+:03012A00000024AE
+:03012B00000208C7
+:03012C0000074386
+:03012D00000B368E
+:03012E0000020BC1
+:03012F00000D8040
+:0301300000003498
+:03013100000C3A85
+:030132000002C800
+:03013300000B328C
+:0301340000020BBB
+:0301350000003493
+:03013600000A6458
+:03013700000B3783
+:00000001FF