summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorjim <jim@5390a7c7-147a-4af0-8ec9-7488f05a26cb>2004-11-21 04:29:53 +0000
committerjim <jim@5390a7c7-147a-4af0-8ec9-7488f05a26cb>2004-11-21 04:29:53 +0000
commit5528607cba498ef8c2806f99c10ce954ebaec700 (patch)
treecbbc93a456d98e3bd5c0f9f7b338380ff52f25c9
parent803d3dee15620df0f8d62f25a36906438eda39c3 (diff)
Added support files for new version of pciradio card and updates to driver.
git-svn-id: http://svn.digium.com/svn/zaptel/trunk@500 5390a7c7-147a-4af0-8ec9-7488f05a26cb
-rwxr-xr-xMakefile5
-rwxr-xr-xpciradio.c385
-rwxr-xr-xpciradio.rbt10531
-rwxr-xr-xpciradio.ucf114
-rwxr-xr-xpciradio.vhd160
5 files changed, 11034 insertions, 161 deletions
diff --git a/Makefile b/Makefile
index 95c7798..92994c8 100755
--- a/Makefile
+++ b/Makefile
@@ -120,7 +120,7 @@ wct4xxp.o:wct4xxp.c zaptel.h
wctdm.o:wctdm.c zaptel.h wctdm.h
$(HOSTCC) $(KFLAGS) -c wctdm.c
-pciradio.o:pciradio.c zaptel.h
+pciradio.o:pciradio.c zaptel.h radfw.h
$(HOSTCC) $(KFLAGS) -c pciradio.c
wcs3200p.o:wcs3200p.c zaptel.h
@@ -155,6 +155,9 @@ tones.h: gendigits
tor2fw.h: makefw tormenta2.rbt
./makefw tormenta2.rbt tor2fw > tor2fw.h
+radfw.h: makefw pciradio.rbt
+ ./makefw pciradio.rbt radfw > radfw.h
+
gendigits: gendigits.o
$(CC) -o gendigits gendigits.o -lm
diff --git a/pciradio.c b/pciradio.c
index 9ed9f85..e2e0fee 100755
--- a/pciradio.c
+++ b/pciradio.c
@@ -67,17 +67,15 @@ With PL check: 267722 (13.2 % -- will be much improved with new Xilinx)
#define RAD_OPER 0x01
#define RAD_AUXC 0x02
#define RAD_AUXD 0x03
- #define MX828_DOUT 0x02 /* Data from MX828 */
- #define MX828_DIN 0x04 /* Data to MX828 */
- #define MX828_CS0 0x08 /* MX828 CS Channel 0 */
- #define MX828_CS1 0x10 /* MX828 CS Channel 1 */
- #define MX828_CS2 0x20 /* MX828 CS Channel 2 */
- #define MX828_CS3 0x40 /* MX828 CS Channel 3 */
- #define MX828_SCLK 0x80 /* MX828 Serial Clock */
+ #define XPGM 4
+ #define XCS 2
+
#define RAD_MASK0 0x04
#define RAD_MASK1 0x05
#define RAD_INTSTAT 0x06
#define RAD_AUXR 0x07
+ #define XINIT 8
+ #define XDONE 0x10
#define RAD_DMAWS 0x08
#define RAD_DMAWI 0x0c
@@ -105,6 +103,16 @@ With PL check: 267722 (13.2 % -- will be much improved with new Xilinx)
#define RAD_GOTRX_DEBOUNCE_TIME 75
+/* bits for port 0 in */
+#define MX828_DOUT 0x10 /* Data from MX828 */
+/* bits for port 0 out */
+#define MX828_DIN 0x2 /* Data to MX828 */
+#define MX828_CS0 0x10 /* MX828 CS Channel 0 */
+#define MX828_CS1 0x20 /* MX828 CS Channel 1 */
+#define MX828_CS2 0x40 /* MX828 CS Channel 2 */
+#define MX828_CS3 0x80 /* MX828 CS Channel 3 */
+#define MX828_SCLK 0x1 /* MX828 Serial Clock */
+
/*
* MX828 Commands
*/
@@ -185,6 +193,7 @@ struct tonedef {
unsigned char b2;
} ;
+#include "radfw.h"
static struct tonedef cttable_tx [] = {
{0,0,0},
@@ -448,169 +457,156 @@ static void wait_just_a_bit(int foo)
}
/*
-* Output a byte to the MX828 ctcss encoder/decoder chip
+* Output a byte to the MX828 PL encoder/decoder chip
*/
-
-void mx828_set_serdata(struct pciradio *rad, char bit)
+
+void mx828_set_serdata(struct pciradio *rad,int bit)
{
- unsigned char regsave;
-
- regsave = inb(rad->ioaddr + RAD_AUXR);
- regsave &= ~MX828_DIN;
- if(bit)
- regsave |= MX828_DIN;
- outb(regsave, rad->ioaddr + RAD_AUXD);
+ rad->p0save &= ~MX828_DIN;
+ if(bit)
+ rad->p0save |= MX828_DIN;
+ __pciradio_setcreg(rad,0,rad->p0save);
}
-
+
void mx828_wiggle_sclk(struct pciradio *rad)
{
- unsigned char regsave;
-
- regsave = inb(rad->ioaddr + RAD_AUXR);
- regsave &= ~MX828_SCLK; /* SCLK 1 -> 0 */
- outb(regsave, rad->ioaddr + RAD_AUXD);
+ rad->p0save &= ~MX828_SCLK; /* SCLK 1 -> 0 */
+ __pciradio_setcreg(rad,0,rad->p0save);
udelay(1);
-
- regsave = inb(rad->ioaddr + RAD_AUXR);
- regsave |= MX828_SCLK; /* SCLK 0 -> 1 */
- outb(regsave, rad->ioaddr + RAD_AUXD);
+ rad->p0save |= MX828_SCLK; /* SCLK 0 -> 1 */
+ __pciradio_setcreg(rad,0,rad->p0save);
udelay(1);
}
-
-
+
+
/*
* Output a command to the MX828 over the serial bus
*/
-
-
+
+
void mx828_command(struct pciradio *rad,int channel, unsigned char command, unsigned char *byte1, unsigned char *byte2)
{
-
- int i, param = 1, wr = 1, word = 0;
- unsigned char byte, regsave;
-
- if(channel > 3)
- return;
-
- /* Pull the transfer info from the command code */
-
- switch(command){
- case MX828_GEN_RESET: /* Commands with no param */
- param = 0;
- break;
-
- case MX828_SAUDIO_CTRL: /* 8 bit write commands */
- case MX828_SAUDIO_SETUP:
- case MX828_DCS1:
- case MX828_DCS2:
- case MX828_DCS3:
- case MX828_IRQ_MASK:
- case MX828_GEN_CTRL:
- case MX828_GPT:
- break;
-
- case MX828_SAUDIO_STATUS: /* 8 bit read commands */
- case MX828_IRQ_FLAG:
- case 0:
- wr = 0;
- break;
-
- case MX828_TX_TONE: /* 16 bit write commands */
- case MX828_RX_TONE:
- case MX828_AUD_CTRL:
- case MX828_SELCALL:
- word = 1;
- break;
-
- default:
- return;
- }
-
-
- mx828_set_serdata(rad,1); /* Start with data = 1 */
-
+
+ int i, param = 1, wr = 1, word = 0;
+ unsigned char byte;
+
+ if(channel > 3)
+ return;
+
+ /* Pull the transfer info from the command code */
+
+ switch(command){
+ case MX828_GEN_RESET: /* Commands with no param */
+ param = 0;
+ break;
+
+ case MX828_SAUDIO_CTRL: /* 8 bit write commands */
+ case MX828_SAUDIO_SETUP:
+ case MX828_DCS1:
+ case MX828_DCS2:
+ case MX828_DCS3:
+ case MX828_IRQ_MASK:
+ case MX828_GEN_CTRL:
+ case MX828_GPT:
+ break;
+
+ case MX828_SAUDIO_STATUS: /* 8 bit read commands */
+ case MX828_IRQ_FLAG:
+ case 0:
+ wr = 0;
+ break;
+
+ case MX828_TX_TONE: /* 16 bit write commands */
+ case MX828_RX_TONE:
+ case MX828_AUD_CTRL:
+ case MX828_SELCALL:
+ word = 1;
+ break;
+
+ default:
+ return;
+ }
+
+
+ mx828_set_serdata(rad,1); /* Start with data = 1 */
+
udelay(2);
-
- /* Set the proper CS */
-
- byte = (unsigned char ) 1 << (channel + 3);
-
- regsave = inb(rad->ioaddr + RAD_AUXR);
- regsave |= (MX828_CS0 | MX828_CS1 | MX828_CS2 | MX828_CS3);
- regsave &= ~byte;
- outb(regsave, rad->ioaddr + RAD_AUXD);
-
-
+
+ /* Set the proper CS */
+
+ byte = (unsigned char ) 1 << (channel + 4);
+
+ rad->p0save |= (MX828_CS0 | MX828_CS1 | MX828_CS2 | MX828_CS3);
+ rad->p0save &= ~byte;
+ __pciradio_setcreg(rad,0,rad->p0save);
+
+
udelay(2);
-
- /* Output the command byte */
-
- byte = command;
-
- for( i = 0 ; i < 8 ; i++){
- udelay(2);
- mx828_set_serdata(rad,0x80 & byte); /* MSB first */
- byte <<= 1;
- mx828_wiggle_sclk(rad);
- }
- if(param){
- udelay(4);
- if(wr){
- byte = *byte1;
- for( i = 0 ; i < 8 ; i++){
- udelay(2);
- mx828_set_serdata(rad,0x80 & byte);
- byte <<= 1;
- mx828_wiggle_sclk(rad);
- }
- if(word){
- udelay(4);
- byte = *byte2;
- for( i = 0 ; i < 8 ; i++){
- udelay(2);
- mx828_set_serdata(rad,0x80 & byte);
- byte <<= 1;
- mx828_wiggle_sclk(rad);
- }
- }
- }
- else { /* rd */
- byte = 0;
- for( i = 0 ; i < 8 ; i++){
- mx828_wiggle_sclk(rad);
- byte <<= 1;
- udelay(2);
- if(inb(rad->ioaddr + RAD_AUXR) & MX828_DOUT)
- byte |= 0x01;
- }
- *byte1 = byte;
- if(word){
- byte = 0;
- udelay(4);
- for( i = 0 ; i < 8 ; i++){
- mx828_wiggle_sclk(rad);
- byte <<= 1;
- udelay(2);
- if(inb(rad->ioaddr + RAD_AUXR) & MX828_DOUT)
- byte |= 0x01;
- }
- *byte2 = byte;
+
+ /* Output the command byte */
+
+ byte = command;
+
+ for( i = 0 ; i < 8 ; i++){
+ udelay(2);
+ mx828_set_serdata(rad,0x80 & byte); /* MSB first */
+ byte <<= 1;
+ mx828_wiggle_sclk(rad);
+ }
+ if(param){
+ udelay(4);
+ if(wr){
+ byte = *byte1;
+ for( i = 0 ; i < 8 ; i++){
+ udelay(2);
+ mx828_set_serdata(rad,0x80 & byte);
+ byte <<= 1;
+ mx828_wiggle_sclk(rad);
+ }
+ if(word){
+ udelay(4);
+ byte = *byte2;
+ for( i = 0 ; i < 8 ; i++){
+ udelay(2);
+ mx828_set_serdata(rad,0x80 & byte);
+ byte <<= 1;
+ mx828_wiggle_sclk(rad);
+ }
+ }
+ }
+ else { /* rd */
+ byte = 0;
+ for( i = 0 ; i < 8 ; i++){
+ mx828_wiggle_sclk(rad);
+ byte <<= 1;
+ udelay(2);
+ if(__pciradio_getcreg(rad,0) & MX828_DOUT)
+ byte |= 0x01;
+ }
+ *byte1 = byte;
+ if(word){
+ byte = 0;
+ udelay(4);
+ for( i = 0 ; i < 8 ; i++){
+ mx828_wiggle_sclk(rad);
+ byte <<= 1;
+ udelay(2);
+ if(__pciradio_getcreg(rad,0) & MX828_DOUT)
+ byte |= 0x01;
+ }
+ *byte2 = byte;
}
-
-
- }
- }
-
+
+ }
+ }
+
udelay(4);
-
- /* Release chip selects */
-
- regsave = inb(rad->ioaddr + RAD_AUXR);
- regsave |= (MX828_CS0 | MX828_CS1 | MX828_CS2 | MX828_CS3);
- outb(regsave, rad->ioaddr + RAD_AUXD);
-
-
-}
+
+ /* Release chip selects */
+ rad->p0save |= (MX828_CS0 | MX828_CS1 | MX828_CS2 | MX828_CS3);
+ __pciradio_setcreg(rad,0,rad->p0save);
+}
+
static void _set_encdec(struct pciradio *rad, int n)
{
@@ -635,7 +631,7 @@ unsigned short txcode;
txcode = rad->txcode[n][myindex];
if (txcode & 0x8000) dcstx = 1; else if (txcode) cttx = 1;
if (rad->radmode[n] & RADMODE_NOENCODE) dcstx = cttx = 0;
- if ((!rad->gottx[n]) || rad->bursttimer[n]) dcstx = cttx = 0;
+ if ((!rad->gottx[n]) || rad->bursttimer[n]) dcstx = cttx = 0;
saudio_ctrl = 0;
saudio_setup = 0;
if (dcstx && (!dcsrx)) /* if to transmit DCS */
@@ -1285,19 +1281,19 @@ static int pciradio_hardware_init(struct pciradio *rad)
{
unsigned char byte1,byte2;
int x;
+unsigned long endjif;
/* Signal Reset */
outb(0x01, rad->ioaddr + RAD_CNTL);
/* Reset PCI Interface chip and registers (and serial) */
outb(0x06, rad->ioaddr + RAD_CNTL);
- /* Setup our proper outputs for when we switch for our "serial" port */
- rad->ios = BIT_CS | BIT_SCLK | BIT_SDI;
-
+ /* Setup our proper outputs */
+ rad->ios = 0xfe;
outb(rad->ios, rad->ioaddr + RAD_AUXD);
- /* Set all to outputs except AUX 2, which is an input */
- outb(0xfd, rad->ioaddr + RAD_AUXC);
+ /* Set all to outputs except AUX 3 & 4, which are inputs */
+ outb(0x67, rad->ioaddr + RAD_AUXC);
/* Select alternate function for AUX0 */
outb(0x4, rad->ioaddr + RAD_AUXFUNC);
@@ -1305,6 +1301,75 @@ int x;
/* Wait 1/4 of a sec */
wait_just_a_bit(HZ/4);
+ /* attempt to load the Xilinx Chip */
+ /* De-assert CS+Write */
+ rad->ios |= XCS;
+ outb(rad->ios, rad->ioaddr + RAD_AUXD);
+ /* Assert PGM */
+ rad->ios &= ~XPGM;
+ outb(rad->ios, rad->ioaddr + RAD_AUXD);
+ /* wait for INIT and DONE to go low */
+ endjif = jiffies + 10;
+ while (inb(rad->ioaddr + RAD_AUXR) & (XINIT | XDONE) && (jiffies <= endjif));
+ if (endjif < jiffies) {
+ printk("Timeout waiting for INIT and DONE to go low\n");
+ return -1;
+ }
+ if (debug) printk("fwload: Init and done gone to low\n");
+ /* De-assert PGM */
+ rad->ios |= XPGM;
+ outb(rad->ios, rad->ioaddr + RAD_AUXD);
+ /* wait for INIT to go high (clearing done */
+ endjif = jiffies + 10;
+ while (!(inb(rad->ioaddr + RAD_AUXR) & XINIT) && (jiffies <= endjif));
+ if (endjif < jiffies) {
+ printk("Timeout waiting for INIT to go high\n");
+ return -1;
+ }
+ if (debug) printk("fwload: Init went high (clearing done)\nNow loading...\n");
+ /* Assert CS+Write */
+ rad->ios &= ~XCS;
+ outb(rad->ios, rad->ioaddr + RAD_AUXD);
+ for (x = 0; x < sizeof(radfw); x++)
+ {
+ /* write the byte */
+ outb(radfw[x],rad->ioaddr + RAD_REGBASE);
+ /* if DONE signal, we're done, exit */
+ if (inb(rad->ioaddr + RAD_AUXR) & XDONE) break;
+ /* if INIT drops, we're screwed, exit */
+ if (!(inb(rad->ioaddr + RAD_AUXR) & XINIT)) break;
+ }
+ if (debug) printk("fwload: Transferred %d bytes into chip\n",x);
+ /* Wait for FIFO to clear */
+ endjif = jiffies + 2;
+ while (jiffies < endjif); /* wait */
+ printk("Transfered %d bytes into chip\n",x);
+ /* De-assert CS+Write */
+ rad->ios |= XCS;
+ outb(rad->ios, rad->ioaddr + RAD_AUXD);
+ if (debug) printk("fwload: Loading done!\n");
+ /* Wait for FIFO to clear */
+ endjif = jiffies + 2;
+ while (jiffies < endjif); /* wait */
+ if (!(inb(rad->ioaddr + RAD_AUXR) & XINIT))
+ {
+ printk("Drove Init low!! CRC Error!!!\n");
+ return -1;
+ }
+ if (!(inb(rad->ioaddr + RAD_AUXR) & XDONE))
+ {
+ printk("Did not get DONE signal. Short file maybe??\n");
+ return -1;
+ }
+ printk("Xilinx Chip successfully loaded, configured and started!!\n");
+
+
+ rad->p0save = 0xf0;
+ __pciradio_setcreg(rad,0,rad->p0save);
+
+ rad->p1save = 0;
+ __pciradio_setcreg(rad,1,rad->p1save);
+
/* Back to normal, with automatic DMA wrap around */
outb(0x30 | 0x01, rad->ioaddr + RAD_CNTL);
@@ -1346,7 +1411,7 @@ int x;
mx828_command(rad,x, MX828_GEN_CTRL, &byte1, &byte2);
}
- udelay(1000);
+
return 0;
}
diff --git a/pciradio.rbt b/pciradio.rbt
new file mode 100755
index 0000000..7890823
--- /dev/null
+++ b/pciradio.rbt
@@ -0,0 +1,10531 @@
+Xilinx ASCII Bitstream
+Created by Bitstream E.33
+Design name: pciradio.ncd
+Architecture: spartan2
+Part: 2s30vq100
+Date: Sat Nov 20 21:18:41 2004
+Bits: 336768
+11111111111111111111111111111111
+10101010100110010101010101100110
+00110000000000001000000000000001
+00000000000000000000000000000111
+00110000000000010110000000000001
+00000000000000000000000000001000
+00110000000000010010000000000001
+00100000100000000011111100101101
+00110000000000001100000000000001
+00000000000000000000000000000000
+00110000000000001000000000000001
+00000000000000000000000000001001
+00110000000000000010000000000001
+00000000000000000000000000000000
+00110000000000001000000000000001
+00000000000000000000000000000001
+00110000000000000100000000000000
+01010000000000000010010001100011
+00000000000100100010000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000010010000000
+00000000000000000000000000000000
+00000000000100100010000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000010010000000
+00000000000000000000000000000000
+00000000000000100000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000010000000
+00000000000000000000000000000000
+00000000000000100000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000010000000
+00000000000000000000000000000000
+00000000000110100000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000010010000000
+00000000000000000000000000000000
+00000000000100100000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000010010000000
+00000000000000000000000000000000
+00000000000110100000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000010000000000
+00000000000000000000000000000000
+11111111000110100000000000000000
+00000000000000000000000000000000
+00000000000000000010000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000010000000000000000000
+00000000000000000000000000000000
+00000000001111111100010000000000
+00000000000000000000000000000000
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001111110100000000001101111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011111100000000000001110000
+00000000000000000000000000000000
+10000000000100001110111000000000
+10111011100000000010111011100000
+00001011100110000000001101101110
+00000000101110111000000000101110
+11100000000010111011100000000010
+11101110000000001011101110000000
+00101110111000000000101110111000
+00000010111000000000010000110000
+00000000000000000000000000000000
+10001000000001011100110000000000
+10110011000000000010110011000000
+00001011000100000000001001001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111000100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10111011000000000010111011000000
+00001011101110000000001001101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100000000010001100000
+00000000000000000000000000000000
+01000000000101011110110000000000
+11111011000000000011111011000000
+00001111001110000000001101101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000000000
+11111111000000000011111111000000
+00001111101100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111100110100000001100101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010000100000
+00000000000000000000000000000000
+11001000000001010010110000000000
+10111011000000000010111011000000
+00001011101110000000001000101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100100000000001000000
+00000000000000000000000000000000
+11100000000001010100110000000000
+10110011000000000010110011000000
+00001011000101000000001000001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111110000000000001010000
+00000000000000000000000000000000
+00100000000000010001111000000000
+10110111100000000010110111100000
+00001011010110000000001000011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010110110000000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000000000011110011000000
+00001111000100000000101100001100
+00000000111100110000000000111100
+11000000000011110011000000000011
+11001100000000001111001100000000
+00111100110000000000111100110000
+00000011110100100000001000000000
+00000000000000000000000000000000
+01000000000111011011110000000000
+11111111000000000011111111000000
+00001111110000000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000000000
+11111011000000000011111011000000
+00001111001100000000001100101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000000001110000
+00000000000000000000000000000000
+01001000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001101011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110100100000010001100000
+00000000000000000000000000000000
+11000000000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001000011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010111100000000000000100000
+00000000000000000000000000000000
+01001000000101001100110000000000
+10110011000000000010110011000000
+00001011001010010000001001001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110100100000010000110000
+00000000000000000000000000000000
+11101000000101011010100000000000
+11111010000000000011111010000000
+00001111011000000010001100101000
+00000000111110100000000000111110
+10000000000011111010000000000011
+11101000000000001111101000000000
+00111110100000000000111110100000
+00000010111110100000010001100000
+00000000000000000000000000000000
+01001000000000001110000000000000
+11111000000000000011111000000001
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001110010000000000
+11111001000000000011111001000000
+00001111100100000000001100100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100101000000001010100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010111000000000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00000011101100001000001000100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000000001000000
+00000000000000000000000000000000
+00001000000001000000010000000000
+10110001000000000010110001000000
+00001011100100101000001010000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000100000000
+00000000000000000000000000000000
+10111000000011010110000000000000
+11111000000000000011111000000000
+00001111100000100000001100100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011111011100000001101010000
+00000000000000000000000000000000
+10011000000111011110010000000000
+11111001000000000011111001000000
+00001111110100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111001100000011001110000
+00000000000000000000000000000000
+00011000000001011110010000000000
+11111001000000000011111001000000
+00001111110100000000001110100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111001100000000001110000
+00000000000000000000000000000000
+00111000000100001110000000000000
+10111000000000000010111000000000
+00001011100001010000001000100000
+00000000101110000000000000101110
+00000000000010111000000000000010
+11100000000000001011100000000000
+00111110000000000000101110000000
+00000010110011100000010000110000
+00000000000000000000000000000000
+00001000000001011100010000000000
+10110001000000000010110001000000
+00001011000100000100011010000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000101110000
+00000000000000000000000000000000
+00011000000101011010010000000000
+10111001000000000010111001000000
+00001011100100100000001000100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000010001100000
+00000000000000000000000000000000
+10100000000101011110010000000000
+11111001000000000011111001000000
+00001111100100100000001110100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+01111110010000000000111110010000
+00000011111010000000010001110000
+00000000000000000000000000000000
+00101000000000011010010000000000
+11111001000000000011111001000001
+00001111000100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111010010000000000111110010000
+00000011110010100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001101100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110010100000010000100000
+00000000000000000000000000000000
+00101000000001010010100000000000
+10111010000000000010111010000000
+00001011111010000000001000101000
+00000000101110100000000000101110
+10000000000010111010000000000010
+11101000000000001011101000000000
+00101110100000000000101110100000
+00000010110010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100110000001001001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010100000000001010000
+00000000000000000000000000000000
+10100000000000010001110000000000
+10110111000000000010110111000000
+00001011111100000000001001011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010000000000001000000
+00000000000000000000000000000000
+10101000000010000001111000000000
+11110111100000000011110111100000
+00001111011010000000001101011110
+00000000111101111000000000111101
+11100000000011110111100000000011
+11011110000000001111011110000000
+00011101111000000000111101111000
+00000011111010100000001000000000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111001100000000001110101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+00000000000001011111111000000000
+11111111100000000011111111100000
+00001111011110000000001100111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000100111111111000
+00010011110100000000000001110000
+00000000000000000000000000000000
+10101000000100011001110000000000
+10110111000000000010110111000001
+00001011010100000000001000011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010100000010001100000
+00000000000000000000000000000000
+00000000000000001001110000000000
+10110111000000000010110111000000
+00001011111100000000001000011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110001000000000000100000
+00000000000000000000000000000000
+00100000000101001100110000000000
+10110011000000000010110011000000
+00001011000100001000001000001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110110000000010000110000
+00000000000000000000000000000000
+10101000000101011010110000000000
+11111011000000000011111011000000
+00001111001110001000001100101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000010001100000
+00000000000000000000000000000000
+10000000000000001110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111001000000000000110000
+00000000000000000000000000000000
+00000001000100001111110000000000
+11111111000000000011111111000000
+00001111111100000000001100111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111000000000010000110000
+00000000000000000000000000000000
+10000001000001000110110000000000
+10111011000000000010111011000000
+00001011101100000000001000101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000010100000000010000
+00000000000000000000000000000000
+10000000000001010010110000000000
+10111011000000000010111011000000
+00001011101101100000001000101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000000000001000000
+00000000000000000000000000000000
+00001000000001000000110000000000
+10110011000000000010110011000000
+00001011101100000000001000001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110000100000000100000000
+00000000000000000000000000000000
+00000000000011010110110000000000
+11111011000000000011111011000000
+00001111101100000000001100101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111000000000001101010000
+00000000000000000000000000000000
+10100000000111011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111010000000011001110000
+00000000000000000000000000000000
+11000000000001011011111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011111100000000000001110000
+00000000000000000000000000000000
+10000000000100001110111000000000
+11101011100000000010111011100000
+00001011101110000000001011101110
+00000000101110111000000000101110
+11100000000010111011100000000010
+11101110000000001011101110000000
+00101110111000000000101110111000
+00000010111000000000010000110000
+00000000000000000000000000000000
+10001000000001001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111000100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10101011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100000000010001100000
+00000000000000000000000000000000
+01000000000101011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010000100000
+00000000000000000000000000000000
+11001000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100100000000001000000
+00000000000000000000000000000000
+11100000000001010100110000000000
+10110011000000000010110011000000
+00011011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111110000000000001010000
+00000000000000000000000000000000
+00100000000000010001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010110010000000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000000000011110011000000
+00001111001100000000001111001100
+00000000111100110000000000111100
+11000000000011110011000000000011
+11001100000000001111001100000000
+00111100110000000000111100110000
+00000011110100100000001000000000
+00000000000000000000000000000000
+01000000000111011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000000001110000
+00000000000000000000000000000000
+01001000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110100100000010001100000
+00000000000000000000000000000000
+11000000000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010111100000000000000100000
+00000000000000000000000000000000
+01001000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110100100000010000110000
+00000000000000000000000000000000
+11101000000101011010100000000000
+11111010000000000011111010000000
+00001111101000000000001111101000
+00000000111110100000000000111110
+10000000000011111010000000000011
+11101000000000001111101000000000
+00111110100000000000111110100000
+00000011111110100000010001100000
+00000000000000000000000000000000
+01001000000000001110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010111000000000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000000001000000
+00000000000000000000000000000000
+00001000000000000000010000000000
+10110001000000000010110001000000
+00011011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000100000000
+00000000000000000000000000000000
+10111000000011000110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011111011100000001101010000
+00000000000000000000000000000000
+10011000000111011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111001100000011001110000
+00000000000000000000000000000000
+00011000000001011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110001100000000001110000
+00000000000000000000000000000000
+00111000000100001110000000000000
+10111000000000000010111000000000
+00001011100000000000001011100000
+00000000101110000000000000101110
+00000000000010111000000000000010
+11100000000000001011100000000000
+00101110000000000000101110000000
+00000010110011100000010000110000
+00000000000000000000000000000000
+00001000000001010100010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000101110000
+00000000000000000000000000000000
+00011000000101011010010000000000
+10111001000000000010111001000000
+00000011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000010001100000
+00000000000000000000000000000000
+10100000000101011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111010000000010001110000
+00000000000000000000000000000000
+00101000000000011010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110010100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110010100000010000100000
+00000000000000000000000000000000
+00101000000001010010100000000000
+10111010000000000010111010000000
+00001011101000000000001011101000
+00000000101110100000000000101110
+10000000000010111010000000000010
+11101000000000001011101000000000
+00101110100000000000101110100000
+00000010110010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010100000000001010000
+00000000000000000000000000000000
+10100000000000010001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010000000000001000000
+00000000000000000000000000000000
+10101000000010000001111000000000
+11110111100000000011110111100000
+00001111011110000000001111011110
+00000000111101111000000000111101
+11100000000011110111100000000011
+11011110000000001111011110000000
+00111101111000000000111101111000
+00000011111010100000001000000000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+00000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011110000000000000001110000
+00000000000000000000000000000000
+10101000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010100000010001100000
+00000000000000000000000000000000
+00000000000000001001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110000000000000000100000
+00000000000000000000000000000000
+00100000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010000000010000110000
+00000000000000000000000000000000
+10101000000101011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000010001100000
+00000000000000000000000000000000
+10000000000000001110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111000000000000000110000
+00000000000000000000000000000000
+00000001000100001111110000000000
+11101111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110000000100010000110000
+00000000000000000000000000000000
+10000001000001000110110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000100000000010000
+00000000000000000000000000000000
+10000000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000000000001000000
+00000000000000000000000000000000
+00001000000001000000110000000000
+10110011000000000010110011000000
+00001011001100000000011011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110000100000000100000000
+00000000000000000000000000000000
+00000000000011010110110000000000
+11101011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000000000001101010000
+00000000000000000000000000000000
+10100000000111011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111010000000011001110000
+00000000000000000000000000000000
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011111100000000000001110000
+00000000000000000000000000000000
+10000000000100001110111000000000
+10111011100000000010111011100000
+00001011101110000000001011101110
+00000000101110111000000000101110
+11100000000010111011100000000010
+11101110000000001011101110000000
+00101110111000000000101110111000
+00000010111000000000010000110000
+00000000000000000000000000000000
+10001000000001011100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111000100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10111011000000000010111011000000
+00001011101110001000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100000000010001100000
+00000000000000000000000000000000
+01000000000101011110110000000000
+11111011000000000011111011000000
+00001111100101000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111100101000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010000100000
+00000000000000000000000000000000
+11001000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100100000000001000000
+00000000000000000000000000000000
+11100000000001010100110000000000
+10110011000000000010110011000000
+00001011001000000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111110000000000001010000
+00000000000000000000000000000000
+00100000000000010001111000000000
+10110111100000000010110111100000
+00001011011010000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010110010000000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000000000011110011000000
+00001111001100000000001111001100
+00000000111100110000000000111100
+11000000000011110011000000000011
+11001100000000001111001100000000
+00111100110000000000111100110000
+00000011110100100000001000000000
+00000000000000000000000000000000
+01000000000111011011110000000000
+11111111000000000011111111000000
+10001111111100010000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000000001110000
+00000000000000000000000000000000
+01001000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110100100000010001100000
+00000000000000000000000000000000
+11000000000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010111100000000000000100000
+00000000000000000000000000000000
+01001000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000100001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110100100000010000110000
+00000000000000000000000000000000
+11101000000101011010100000000000
+11111010000000000011111010000000
+00001111111000100000001111101000
+00000000111110100000000000111110
+10000000000011111010000000000011
+11101000000000001111101000000000
+00111110100000000000111110100000
+00000011111110100000010001100000
+00000000000000000000000000000000
+01001000000000001110000000000000
+11111000000000000011111000000000
+00001111100010000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100100000000011011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00111010010000000000101110010000
+00000010111000000000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000000001000000
+00000000000000000000000000000000
+00001000000001000000010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101000010000000000101100010000
+00000010110000100000000100000000
+00000000000000000000000000000000
+10111000000011010110000000000000
+11111000000000000011111000000000
+00001111100000000000001011100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011111011100000001101010000
+00000000000000000000000000000000
+10011000000111011110010000000000
+11111001000000000011111001000000
+10001111110100000100001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111010010000000000111110010000
+00000011111001100000011001110000
+00000000000000000000000000000000
+00011000000001011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110001100000000001110000
+00000000000000000000000000000000
+00111000000100001110000000000000
+10111000000000000010111000000000
+00001011100000000000001011100000
+00000000101110000000000000101110
+00000000000010111000000000000010
+11100000000000001011100000000000
+00101110000000000000101110000000
+00000010110011100000010000110000
+00000000000000000000000000000000
+00001000000001011100010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000101110000
+00000000000000000000000000000000
+00011000000101011010010000000000
+10111001000000000010111001000000
+00001011100101000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000010001100000
+00000000000000000000000000000000
+10100000000101011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111010000000010001110000
+00000000000000000000000000000000
+00101000000000011010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000001
+00111110010000000000111110010000
+00000011110010100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110010100000010000100000
+00000000000000000000000000000000
+00101000000001010010100000000000
+10111010000000000010111010000000
+00001011101000000000011011101000
+00000000101110100000000000101110
+10000000000010111010000000000010
+11101000000000001011101000000000
+00111010100000000000101110100000
+00000010110010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010100000000001010000
+00000000000000000000000000000000
+10100000000000010001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101001110000000000101101110000
+00000010111010000000000001000000
+00000000000000000000000000000000
+10101000000010000001111000000000
+11110111100000000011110111100000
+00001111011110000000001011011110
+00000000111101111000000000111101
+11100000000011110111100000000011
+11011110000000001111011110000000
+00111101111000000000111101111000
+00000011111010100000001000000000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000010001111101100000000
+00111110110000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+00000000000001011111111000000000
+11111111100000000011111111100000
+00001111011110000000001100111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110010000
+00111111111000000000111111111000
+00000011110000000000000001110000
+00000000000000000000000000000000
+10101000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001101011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010100000010001100000
+00000000000000000000000000000000
+00000000000000001001110000000000
+10110111000000000010110111000000
+00001011111100001000001000011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000100
+00101101110000000000101101110000
+00000010110000000000000000100000
+00000000000000000000000000000000
+00100000000101001100110000000000
+10110011000000000010110011000000
+00001011001110000000001001001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010000000010000110000
+00000000000000000000000000000000
+10101000000101011010110000000000
+11111011000000000011111011000000
+00001111101100000000001100101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000010001100000
+00000000000000000000000000000000
+10000000000000001110110000000000
+11111011000000000011111011000000
+00001111101100000100001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111000000000000000110000
+00000000000000000000000000000000
+00000001000100001111110000000000
+11111111000000000011111111000000
+00001111111100000000001100111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110000000100010000110000
+00000000000000000000000000000000
+10000001000001000110110000000000
+10111011000000000010111011000000
+00001011001100000000001010101100
+00000001101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000111010110000
+00000010111000000100000000010000
+00000000000000000000000000000000
+10000000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001000101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000000000001000000
+00000000000000000000000000000000
+00001000000001000000110000000000
+10110011000000000010110011000000
+00001011101100000000001010001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101000110000
+00000010110000100000000100000000
+00000000000000000000000000000000
+00000000000011010110110000000000
+11111011000000000011111011000000
+00001111101100000000001100101100
+00000000101110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000000000001101010000
+00000000000000000000000000000000
+10100000000111011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111011110000
+00000011111010000000011001110000
+00000000000000000000000000000000
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011111100000000000001110000
+00000000000000000000000000000000
+10000000000100001110111000000000
+10111011100000000010111011100000
+00001011101110000000001011101110
+00000000101110111000000000101110
+11100000000010111011100000000010
+11101110000000001011101110000000
+00101110111000000000101110111000
+00000010111000000000010000110000
+00000000000000000000000000000000
+10001000000001011100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111000100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100000000010001100000
+00000000000000000000000000000000
+01000000000101011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010000100000
+00000000000000000000000000000000
+11001000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100100000000001000000
+00000000000000000000000000000000
+11100000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111110000000000001010000
+00000000000000000000000000000000
+00100000000000010001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010110010000000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000000000011110011000000
+00001111001100000000001111001100
+00000000111100110000000000111100
+11000000000011110011000000000011
+11001100000000001111001100000000
+00111100110000000000111100110000
+00000011110100100000001000000000
+00000000000000000000000000000000
+01000000000111011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000000001110000
+00000000000000000000000000000000
+01001000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110100100000010001100000
+00000000000000000000000000000000
+11000000000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010111100000000000000100000
+00000000000000000000000000000000
+01001000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110100100000010000110000
+00000000000000000000000000000000
+11101000000101011010100000000000
+11111010000000000011111010000000
+00001111101000000000001111101000
+00000000111110100000000000111110
+10000000000011111010000000000011
+11101000000000001111101000000000
+00111110100000000000111110100000
+00000011111110100000010001100000
+00000000000000000000000000000000
+01001000000000001110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010111000000000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000000001000000
+00000000000000000000000000000000
+00001000000001000000010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000100000000
+00000000000000000000000000000000
+10111000000011010110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011111011100000001101010000
+00000000000000000000000000000000
+10011000000111011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111001100000011001110000
+00000000000000000000000000000000
+00011000000001011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110001100000000001110000
+00000000000000000000000000000000
+00111000000100001110000000000000
+10111000000000000010111000000000
+00001011100000000000001011100000
+00000000101110000000000000101110
+00000000000010111000000000000010
+11100000000000001011100000000000
+00101110000000000000101110000000
+00000010110011100000010000110000
+00000000000000000000000000000000
+00001000000001011100010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000101110000
+00000000000000000000000000000000
+00011000000101011010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000010001100000
+00000000000000000000000000000000
+10100000000101011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000010
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111010000000010001110000
+00000000000000000000000000000000
+00101000000000011010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110010100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110010100000010000100000
+00000000000000000000000000000000
+00101000000001010010100000000000
+10111010000000000010111010000000
+00001011101000000000001011101000
+00000000101110100000000000101110
+10000000000010111010000000000010
+11101000000000001011101000000000
+00101110100000000000101110100000
+00000010110010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010100000000001010000
+00000000000000000000000000000000
+10100000000000010001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010000000000001000000
+00000000000000000000000000000000
+10101000000010000001111000000000
+11110111100000000011110111100000
+00001111011110000000001111011110
+00000000111101111000000000111101
+11100000000011110111100000000011
+11011110000000001111011110000000
+00111101111000000000111101111000
+00000011111010100000001000000000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+00000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011110000000000000001110000
+00000000000000000000000000000000
+10101000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000111001110000
+00000010111010100000010001100000
+00000000000000000000000000000000
+00000000000000001001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110000000000000000100000
+00000000000000000000000000000000
+00100000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101000110000
+00000010110010000000010000110000
+00000000000000000000000000000000
+10101000000101011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000010001100000
+00000000000000000000000000000000
+10000000000000001110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111010110000
+00000011111000000000000000110000
+00000000000000000000000000000000
+00000001000100001111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110000000100010000110000
+00000000000000000000000000000000
+10000001000001000110110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000100000000010000
+00000000000000000000000000000000
+10000000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000000000001000000
+00000000000000000000000000000000
+00001000000001000000110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110000100000000100000000
+00000000000000000000000000000000
+00000000000011010110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000000000001101010000
+00000000000000000000000000000000
+10100000000111011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111010000000011001110000
+00000000000000000000000000000000
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11110000000000001111111110000000
+00111111111000000000111111111000
+00000011111100000000000001110000
+00000000000000000000000000000000
+10000000000110001110111000000000
+10111011100000000010111011100000
+00001011101110000000001011101110
+00000000101110111000000000101110
+11100000000010111011100000000010
+11101010000000001011101110000000
+00101110111000000000101110111000
+00000010111100000000010000110000
+00000000000000000000000000000000
+10001000000001011100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11000000000000001011001100000000
+00101100110000000000101100110000
+00000010111100100000000101110000
+00000000000000000000000000000000
+11000000000001011010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11100100001000001011101100000000
+00101110110000000000101110110000
+00000010111100000000010001100000
+00000000000000000000000000000000
+01000000000101011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11100001010000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11100010000000001111111100000000
+00111111110000000000111111110000
+00000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11100001000000001100101100000000
+00111110110000000000111110110000
+00000011110100000000010000100000
+00000000000000000000000000000000
+11001000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11100000000000001000101100000000
+00101110110000000000101110110000
+00000010111100000000000001000000
+00000000000000000000000000000000
+11000000000001000100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11000100000000101000001100000000
+00101100110000000000101100110000
+00000010111110000000000001010000
+00000000000000000000000000000000
+00100000000100000101111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001000011110000000
+00101101111000000000101101111000
+00000010111011100000000001000000
+00000000000000000000000000000000
+01001000000010000100110000000000
+11110011000000000011110011000000
+00001111001100000000001111001100
+00000000111100110000000000111100
+11000000000011110011000000000011
+11001000000000001100001100000000
+00111100110000000000111100110000
+00000011110100100000001000000000
+00000000000000000000000000000000
+01000000000101011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+01000000111111110000000000111111
+11000000000011111111000000000011
+11111100010000001111111100000000
+00111111110000000000111111110000
+00000011110100000000011001100000
+00000000000000000000000000000000
+00001000000001011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001100101100000000
+00111110110000000000111110110000
+00000011111010100000000001110000
+00000000000000000000000000000000
+01001000000110011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11001100000000001000011100000000
+00101101110000000000101101110000
+00000010111100100000010001100000
+00000000000000000000000000000000
+00100000000000000001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110001000001000011110000000
+00101101111000000000101101111000
+00000010111000000000000000100000
+00000000000000000000000000000000
+01101000000001001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001000001100000000
+00101100110000000000101100110000
+00000010110100100000010000110000
+00000000000000000000000000000000
+11101000000101011010100000000000
+11111010000000000011111010000000
+00001111101000000000011111101000
+00000000111110100000000000111110
+10000000000011111010000000000011
+11111000010000101100101000000000
+00111110100000000000111110100000
+00000011111110100000010001100000
+00000000000000000000000000000000
+01001000000000011110000000000000
+11111000000000000011111000000000
+00001111100000000000011111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11000000000000001111100000000000
+00111110000000000000111110000000
+00000011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001010010000000000
+11111001000000000011111001000000
+00000111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001101100100000000
+00111110010000000000111110010000
+00000011110000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001000100100000000
+00101110010000000000101110010000
+00000010111000000000000000010000
+00000000000000000000000000000000
+00111000000001010010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001001100100000000
+00101110010000000000101110010000
+00000010110001100000000001000000
+00000000000000000000000000000000
+00101000000101000000010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100101000001000000100000000
+00101100010000000000101100010000
+00000010110000100000000100000000
+00000000000000000000000000000000
+10111000000011010110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000100000001101100000000000
+00111110000000000000111110000000
+00000011111011100000001101010000
+00000000000000000000000000000000
+10011000000101011110010000000000
+11111001000000000011111001000000
+00001111100100000001001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11110100000000001111100100000000
+00111110010000000000111110010000
+00000011111001100000011001110000
+00000000000000000000000000000000
+00011000000001011010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110001100000000001110000
+00000000000000000000000000000000
+00111000000110001110000000000000
+10111000000000000010111000000000
+00001011100000000000001011100000
+00000000101110000000000000101110
+00000000000010111000000000000010
+11100001010000001110100000000000
+00101110000000000000101110000000
+00000010110011100000010000110000
+00000000000000000000000000000000
+01001000000001001000010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000100001011000100000000
+00101100010000000000101100010000
+00000010110100100000000101110000
+00000000000000000000000000000000
+00011000000001001010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100101010000001010100100000000
+00101110010000000000101110010000
+00000010110001100000010001100000
+00000000000000000000000000000000
+10100000000101011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100101000000001111100100000000
+00111110010000000000111110010000
+00000011111010000000010001110000
+00000000000000000000000000000000
+01001000000000011010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100110000000001110100100000000
+00111110010000000000111110010000
+00000011110110100000000001100000
+00000000000000000000000000000000
+00001000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100001000000001111100000000000
+00111110000000000000111110000000
+00000011110010100000010000100000
+00000000000000000000000000000000
+00101000000001010010100000000000
+10111010000000000010111010000000
+00001011101000000000001011101000
+00000000101110100000000000101110
+10000000000010111010000000100010
+11101000000000001011101000000000
+00101110100000000000101110100000
+00000010110010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101000
+11000000000010110011000000000010
+11001100000000011011001100000000
+00101100110000000000101100110000
+00000010110010100000000001010000
+00000000000000000000000000000000
+10000000000100010001110000000000
+10110111000000000010110111000000
+00011011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000011011011100000000
+00101101110000000000101101110000
+00000010111010000000000001000000
+00000000000000000000000000000000
+10001000000010000001111000000000
+11110111100000000011110111100000
+00001111011110000000001111011110
+00000000111101111000000000111101
+11100000000011110111100000000011
+11011110000000001111011110000000
+00111101111000000000111101111000
+00000011111010100000001000000000
+00000000000000000000000000000000
+00001000000101011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+00000000000001001011111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001100111110010000
+00111111111000000000111111111000
+00000011110000000000000001110000
+00000000000000000000000000000000
+10101000000110001001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001101011100000000
+00101101110000000000101101110000
+00000010111010100000010001100000
+00000000000000000000000000000000
+00000000000000001001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001000011100000100
+00101101110000000000101101110000
+00000010110000000000000000100000
+00000000000000000000000000000000
+00100000000001001000110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001111001000001001001100000000
+00101100110000000000101100110000
+00000010110010000000010000110000
+00000000000000000000000000000000
+10101000000101011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001100101100000000
+00111110110000000000111110110000
+00000011111010100000010001100000
+00000000000000000000000000000000
+10000000000000001110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100010000001111101100000000
+00111110110000000000111110110000
+00000011111000000000000000110000
+00000000000000000000000000000000
+00100001000100001111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11101100000000001111111100000000
+00111111110000000000111111110000
+00000011110000000100010000110000
+00000000000000000000000000000000
+10100001000001000110110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000000000000010000
+00000000000000000000000000000000
+10000000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000000000001000000
+00000000000000000000000000000000
+00001000000101000000110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110000100000000100000000
+00000000000000000000000000000000
+00000000000011010110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000000000001101010000
+00000000000000000000000000000000
+10100000000101011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111010000000011001110000
+00000000000000000000000000000000
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011111100000000000001110000
+00000000000000000000000000000000
+10000000000100001110111000000000
+10111011100000000010111011100000
+00001011101110000000001011101110
+00000000101110111000000000101110
+11100000000010111011100000000010
+11101110000000001011101110000000
+00101110111000000000101110111000
+00000010111000000000010000110000
+00000000000000000000000000000000
+10001000000001011100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001010001100000000
+00101100110000000000101100110000
+00000010111000100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100000000010001100000
+00000000000000000000000000000000
+00000000000101011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010000100000
+00000000000000000000000000000000
+11001000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100100000000001000000
+00000000000000000000000000000000
+11100000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111110000000000001010000
+00000000000000000000000000000000
+01100000000000010001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010110110000000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000000000011110011000000
+00001111001100000000001111001100
+00000000111100110000000000111100
+11000000000011110011000000000011
+11001100000000001111001100000000
+00111100110000000000111100110000
+00000011110100100000001000000000
+00000000000000000000000000000000
+01000000000111011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000000001110000
+00000000000000000000000000000000
+01001000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110100100000010001100000
+00000000000000000000000000000000
+11000000000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010111100000000000000100000
+00000000000000000000000000000000
+01001000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110100100000010000110000
+00000000000000000000000000000000
+11101000000101011010100000000000
+11111010000000000011111010000000
+00001111101000000000001111101000
+00000000111110100000000000111110
+10000000000011111010000000000011
+11101000000000001111101000000000
+00111110100000000000111110100000
+00000011111110100000010001100000
+00000000000000000000000000000000
+01001000000000001110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000111110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000011101000000000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00011011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000000001000000
+00000000000000000000000000000000
+00001000000001000000010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101000
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010100000100000000100000000
+00000000000000000000000000000000
+10111000000011010110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000101110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011111011100000001101010000
+00000000000000000000000000000000
+10011000000110011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011101001100000011001110000
+00000000000000000000000000000000
+10011000000001011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000001111001100000000001110000
+00000000000000000000000000000000
+00111000000100001110000000000000
+10111000000000000010111000000000
+00001011100000000000001011100000
+00000000101110000000000000101110
+00000000000010111000000000000010
+11100000000000001011100000000000
+00101110000000000000101110000000
+00000010110011100000010000110000
+00000000000000000000000000000000
+00001000000001011100010000000100
+10110001000000010010110001000001
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000101110000
+00000000000000000000000000000000
+00011000000101011010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000010001100000
+00000000000000000000000000000000
+10100000000101011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111010000000010001110000
+00000000000000000000000000000000
+00101000000000011010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110010100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110010100000010000100000
+00000000000000000000000000000000
+00101000000001010010100000000000
+10111010000000000010111010000000
+00001011101000000000001011101000
+00000000101110100000000000101110
+10000000000010111010000000000010
+11101000000000001011101000000000
+00101110100000000000101110100000
+00000010110010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010100000000001010000
+00000000000000000000000000000000
+10100000000000010001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010000000000001000000
+00000000000000000000000000000000
+10101000000010000001111000000000
+11110111100000000011110111100000
+00001111011110000000001111011110
+00000000111101111000000000111101
+11100000000011110111100000000011
+11011110000000001111011110000000
+00111101111000000000111101111000
+00000011111010100000001000000000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+01000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011110100000000000001110000
+00000000000000000000000000000000
+10101000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010100000010001100000
+00000000000000000000000000000000
+00000000000000001001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110000000000000000100000
+00000000000000000000000000000000
+01100000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110110000000010000110000
+00000000000000000000000000000000
+10101000000101011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111011100000010001100000
+00000000000000000000000000000000
+10010000000000001110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111000000000000000110000
+00000000000000000000000000000000
+10010000000100001111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111000000000010000110000
+00000000000000000000000000000000
+10000001000001000110110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000010100000000010000
+00000000000000000000000000000000
+10000000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010011011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000000000001000000
+00000000000000000000000000000000
+00001000000001000000110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110000100000000100000000
+00000000000000000000000000000000
+10000000000011010110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111000000000001101010000
+00000000000000000000000000000000
+10100000000111011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111010000000011001110000
+00000000000000000000000000000000
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011111100000000000001110000
+00000000000000000000000000000000
+10000000000100001110111000000000
+10111011100000000010111011100000
+00001011101110000000001011101110
+00000000101110111000000000101110
+11100000000010111011100000000010
+11101110000000001011101110000000
+00101110111000000000101110111000
+00000010111000000000010000110000
+00000000000000000000000000000000
+10001000000001011100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001010001100000000
+00101100110000000000101100110000
+00000010111000100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100000000010001100000
+00000000000000000000000000000000
+01000000000101011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010000100000
+00000000000000000000000000000000
+11001000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100100000000001000000
+00000000000000000000000000000000
+11100000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111110000000000001010000
+00000000000000000000000000000000
+00100000000000010001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010110010000000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000000000011110011000000
+00001111001100000000001111001100
+00000000111100110000000000111100
+11000000000011110011000000000011
+11001100000000001111001100000000
+00111100110000000000111100110000
+00000011110100100000001000000000
+00000000000000000000000000000000
+01000000000111011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000000001110000
+00000000000000000000000000000000
+01001000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110100100000010001100000
+00000000000000000000000000000000
+11000000000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010111100000000000000100000
+00000000000000000000000000000000
+01001000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110100100000010000110000
+00000000000000000000000000000000
+11101000000101011010100000000000
+11111010000000000011111010000000
+00001111101000000000001111101000
+00000000111110100000000000111110
+10000000000011111010000000000011
+11101000000000001111101000000000
+00111110100000000000111110100000
+00000011111110100000010001100000
+00000000000000000000000000000000
+01001000000000001110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010111000000000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000000001000000
+00000000000000000000000000000000
+00001000000001000000010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000100000000
+00000000000000000000000000000000
+10111000000011010110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011111011100000001101010000
+00000000000000000000000000000000
+10011000000111011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111001100000011001110000
+00000000000000000000000000000000
+00011000000001011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110001100000000001110000
+00000000000000000000000000000000
+00111000000100001110000000000000
+10111000000000000010111000000000
+00001011100000000000001011100000
+00000000101110000000000000101110
+00000000000010111000000000000010
+11100000000000001011100000000000
+00101110000000000000101110000000
+00000010110011100000010000110000
+00000000000000000000000000000000
+00001000000001011100010000000000
+10110001000000000010110001000000
+00001011000100000000001010000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000101110000
+00000000000000000000000000000000
+00011000000101011010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000010001100000
+00000000000000000000000000000000
+10100000000101011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111010000000010001110000
+00000000000000000000000000000000
+00101000000000011010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110010100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110010100000010000100000
+00000000000000000000000000000000
+00101000000001010010100000000000
+10111010000000000010111010000000
+00001011101000000000001011101000
+00000000101110100000000000101110
+10000000000010111010000000000010
+11101000000000001011101000000000
+00101110100000000000101110100000
+00000010110010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010100000000001010000
+00000000000000000000000000000000
+10100000000000010001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010000000000001000000
+00000000000000000000000000000000
+10101000000010000001111000000000
+11110111100000000011110111100000
+00001111011110000000001111011110
+00000000111101111000000000111101
+11100000000011110111100000000011
+11011110000000001111011110000000
+00111101111000000000111101111000
+00000011111010100000001000000000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+00000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011110000000000000001110000
+00000000000000000000000000000000
+10101000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010100000010001100000
+00000000000000000000000000000000
+00000000000000001001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110000000000000000100000
+00000000000000000000000000000000
+00100000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010000000010000110000
+00000000000000000000000000000000
+10101000000101011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000001000011
+11101100000000011111101100000000
+00111110110000000000111110110000
+00000011111010100000010001100000
+00000000000000000000000000000000
+10000000000000001110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111000000000000000110000
+00000000000000000000000000000000
+00000001000100001111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110000000100010000110000
+00000000000000000000000000000000
+10000001000001000110110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000100000000010000
+00000000000000000000000000000000
+10000000000001010010110000000000
+10111011000000000010111011000000
+00001010101100000000001011101100
+00000000101110110000000000101110
+11000000000000011011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000000000001000000
+00000000000000000000000000000000
+00001000000001000000110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110000100000000100000000
+00000000000000000000000000000000
+00000000000011010110110000000000
+11111011000000000011111011000000
+00001110101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000000000001101010000
+00000000000000000000000000000000
+10100000000111011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111010000000011001110000
+00000000000000000000000000000000
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111011111000000000111111111000
+00000011111100000000000001110000
+00000000000000000000000000000000
+10000000000100001110111000000000
+10111011100000000010111011100000
+00001011101110000000001011101110
+00000000101110111000000000101110
+11100000000010111011100000000010
+11101110000000001011101110000000
+00101110111000000000101110111000
+00000010111000000000010000110000
+00000000000000000000000000000000
+10001000000001011100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101000110000000000101100110000
+00000010111000100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100000000010001100000
+00000000000000000000000000000000
+01000000000101011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111010110000000000111110110000
+00000011110100000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010000100000
+00000000000000000000000000000000
+11001000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100100000000001000000
+00000000000000000000000000000000
+11100000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111110000000000001010000
+00000000000000000000000000000000
+00100000000000010001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010110010000000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000000000011110011000000
+00001111001100000000001111001100
+00000000111100110000000000111100
+11000000000011110011000000000011
+11001100000000001111001100000000
+00111100110000000000111100110000
+00000011110100100000001000000000
+00000000000000000000000000000000
+01000000000111011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000000001110000
+00000000000000000000000000000000
+01001000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110100100000010001100000
+00000000000000000000000000000000
+11000000000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010111100000000000000100000
+00000000000000000000000000000000
+01001000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110100100000010000110000
+00000000000000000000000000000000
+11101000000101011010100000000000
+11111010000000000011111010000000
+00001111101000000000001111101000
+00000000111110100000000000111110
+10000000000011111010000000000011
+11101000000000001111101000000000
+00111110100000000000111110100000
+00000011111110100000010001100000
+00000000000000000000000000000000
+01001000000000001110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010111000000000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000000001000000
+00000000000000000000000000000000
+00001000000001000000010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000100000000
+00000000000000000000000000000000
+10111000000011010110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011111011100000001101010000
+00000000000000000000000000000000
+10011000000111011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111001100000011001110000
+00000000000000000000000000000000
+00011000000001011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110001100000000001110000
+00000000000000000000000000000000
+00111000000100001110000000000000
+10111000000000000010111000000000
+00001011100000000000001011100000
+00000000101110000000000000101110
+00000000000010111000000000000010
+11100000000000001011100000000000
+00101110000000000000101110000000
+00000010110011100000010000110000
+00000000000000000000000000000000
+00001000000001011100010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000101110000
+00000000000000000000000000000000
+00011000000101011010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000010001100000
+00000000000000000000000000000000
+10100000000101011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111010000000010001110000
+00000000000000000000000000000000
+00101000000000011010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110010100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110010100000010000100000
+00000000000000000000000000000000
+00101000000001010010100000000000
+10111010000000000010111010000000
+00001011101000000000001011101000
+00000000101110100000000000101110
+10000000000010111010000000000010
+11101000000000001011101000000000
+00101110100000000000101110100000
+00000010110010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010100000000001010000
+00000000000000000000000000000000
+10100000000000010001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010000000000001000000
+00000000000000000000000000000000
+10101000000010000001111000000000
+11110111100000000011110111100000
+00001111011110000000001111011110
+00000000111101111000000000111101
+11100000000011110111100000000011
+11011110000000001111011110000000
+00111101111000000000111101111000
+00000011111010100000001000000000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+00000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011110000000000000001110000
+00000000000000000000000000000000
+10101000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010100000010001100000
+00000000000000000000000000000000
+00000000000000001001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110000000000000000100000
+00000000000000000000000000000000
+00100000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010000000010000110000
+00000000000000000000000000000000
+10101000000101011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000010001100000
+00000000000000000000000000000000
+10000000000000001110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111000000000000000110000
+00000000000000000000000000000000
+00000001000100001111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110000000100010000110000
+00000000000000000000000000000000
+10000001000001000110110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000100000000010000
+00000000000000000000000000000000
+10000000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000000000001000000
+00000000000000000000000000000000
+00001000000001000000110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110000100000000100000000
+00000000000000000000000000000000
+00000000000011010110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000000000001101010000
+00000000000000000000000000000000
+10100000000111011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111010000000011001110000
+00000000000000000000000000000000
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011111100000000000001110000
+00000000000000000000000000000000
+10000000000100001110111000000000
+10111011100000000010111011100000
+00001011101110000000001011101110
+00000000101110111000000000101110
+11100000000010111011100000000010
+11101110000000001011101110000000
+00101110111000000000101110111000
+00000010111000000000010000110000
+00000000000000000000000000000000
+10001000000001011100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111000100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100000000010001100000
+00000000000000000000000000000000
+01000000000101011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010000100000
+00000000000000000000000000000000
+11001000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100100000000001000000
+00000000000000000000000000000000
+11100000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111110000000000001010000
+00000000000000000000000000000000
+00100000000000010001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010110010000000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000000000011110011000000
+00001111001100000000001111001100
+00000000111100110000000000111100
+11000000000011110011000000000011
+11001100000000001111001100000000
+00111100110000000000111100110000
+00000011110100100000001000000000
+00000000000000000000000000000000
+01000000000111011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000000001110000
+00000000000000000000000000000000
+01001000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000011100100100000010001100000
+00000000000000000000000000000000
+11000000000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101001111000000000101101111000
+00000010111100000000000000100000
+00000000000000000000000000000000
+01001000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010100100100000010000110000
+00000000000000000000000000000000
+11101000000101011010100000000000
+11111010000000000011111010000000
+00001111101000000000001111101000
+00000000111110100000000000111110
+10000000000011111010000000000011
+11101000000000001111101000000000
+00111010100000000000111110100000
+00000011111110100000010001100000
+00000000000000000000000000000000
+01001000000000001110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011100100100000000000110000
+00000000000000000000000000000000
+00001000000100001110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010111000000000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000000001000000
+00000000000000000000000000000000
+00001000000001000000010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000100000000
+00000000000000000000000000000000
+10111000000011010110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011111011100000001101010000
+00000000000000000000000000000000
+10011000000111011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111001100000011001110000
+00000000000000000000000000000000
+00011000000001011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111010010000000000111110010000
+00000011110001100000000001110000
+00000000000000000000000000000000
+00111000000100001110000000000000
+10111000000000000010111000000000
+00001011100000000000001011100000
+00000000101110000000000000101110
+00000000000010111000000000000010
+11100000000000001011100000000000
+00101110000000000000101110000000
+00000010110011100000010000110000
+00000000000000000000000000000000
+00001000000001011100010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101000010000000000101100010000
+00000010110000100000000101110000
+00000000000000000000000000000000
+00011000000101011010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000010001100000
+00000000000000000000000000000000
+10100000000101011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111010010000000000111110010000
+00000011111010000000010001110000
+00000000000000000000000000000000
+00101000000000011010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110010100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110010100000010000100000
+00000000000000000000000000000000
+00101000000001010010100000000000
+10111010000000000010111010000000
+00001011101000000000001011101000
+00000000101110100000000000101110
+10000000000010111010000000000010
+11101000000000001011101000000000
+00101110100000000000101110100000
+00000010110010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010100000000001010000
+00000000000000000000000000000000
+10100000000000010001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010000000000001000000
+00000000000000000000000000000000
+10101000000010000001111000000000
+11110111100000000011110111100000
+00001111011110000000001111011110
+00000000111101111000000000111101
+11100000000011110111100000000011
+11011110000000001111011110000001
+00111101111000000001111101111000
+00000011111010100000001000000000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+00000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011110000000000000001110000
+00000000000000000000000000000000
+10101000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010100000010001100000
+00000000000000000000000000000000
+00000000000000001001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110000000000000000100000
+00000000000000000000000000000000
+00100000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000010001011001100000000
+00101100110000000000101100110000
+00000010110010000000010000110000
+00000000000000000000000000000000
+10101000000101011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000101110110000
+00000011111010100000010001100000
+00000000000000000000000000000000
+10000000000000001110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111000000000000000110000
+00000000000000000000000000000000
+00000001000100001111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110000000100010000110000
+00000000000000000000000000000000
+10000001000001000110110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000100000000010000
+00000000000000000000000000000000
+10000000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000000000001000000
+00000000000000000000000000000000
+00001000000001000000110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110000100000000100000000
+00000000000000000000000000000000
+00000000000011010110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000000000001101010000
+00000000000000000000000000000000
+10100000000111011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111010000000011001110000
+00000000000000000000000000000000
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111011000
+00000011001100000000000001110000
+00000000000000000000000000000000
+10000000000100001110111000000000
+10111011100000000010111011100000
+00001011101110000000001011101110
+00000000101110111000000000101110
+11100000000010111011100000000010
+11101110000000001011101110000000
+00101110111000000000101110011000
+00000010001000000000010000110000
+00000000000000000000000000000000
+10001000000001011100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101110010000
+00000010001000100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110011000
+00000010001100000000010001100000
+00000000000000000000000000000000
+01000000000101011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111100010000
+00000011000100000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000010000111111010000
+00000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110010000
+00000011110100000000010000100000
+00000000000000000000000000000000
+11001000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110011000
+00000010111100100000000001000000
+00000000000000000000000000000000
+11100000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100011001
+00000010111110000000000001010000
+00000000000000000000000000000000
+00100000000000010001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000010000101101011100
+00000010110010000000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000000000011110011000000
+00001111001100000000001111001100
+00000000111100110000000000111100
+11000000000011110011000000000011
+11001100000000001111001100000000
+00111100110000000000111100010000
+00000011110100100000001000000000
+00000000000000000000000000000000
+01000000000111011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111010000
+00000011110100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111100011000
+00000011001010100000000001110000
+00000000000000000000000000000000
+01001000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101010000
+00000010000100100000010001100000
+00000000000000000000000000000000
+11000000000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101011000
+00001010001100000000000000100000
+00000000000000000000000000000000
+01001000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100111000
+00000010000100100000010000110000
+00000000000000000000000000000000
+11101000000101011010100000000000
+11111010000000000011111010000000
+00001111101000000000001111101000
+00000000111110100000000000111110
+10000000000011111010000000000011
+11101000000000001111101000000000
+00111110100000000000111110101000
+00000011001110100000010001100000
+00000000000000000000000000000000
+01001000000000001110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000101
+00000011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010100
+10000010111000000000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110011000
+00000010110001100000000001000000
+00000000000000000000000000000000
+00001000000001000000010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000100000000
+00000000000000000000000000000000
+10111000000011010110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011111011100000001101010000
+00000000000000000000000000000000
+10011000000111011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111111010000
+00000011111001100000011001110000
+00000000000000000000000000000000
+00011000000001011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111101010000
+00001011000001100000000001110000
+00000000000000000000000000000000
+00111000000100001110000000000000
+10111000000000000010111000000000
+00001011100000000000001011100000
+00000000101110000000000000101110
+00000000000010111000000000000010
+11100000000000001011100000000000
+00101110000000000000101110000000
+00000010000011100000010000110000
+00000000000000000000000000000000
+00001000000001011100010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010000000100000000101110000
+00000000000000000000000000000000
+00011000000101011010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010000001100000010001100000
+00000000000000000000000000000000
+10100000000101011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111100011000
+00000011001010000000010001110000
+00000000000000000000000000000000
+00101000000000011010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010010
+00000011110010100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011000010100000010000100000
+00000000000000000000000000000000
+00101000000001010010100000000000
+10111010000000000110111010000000
+00001011101000000000001011101000
+00000000101110100000000000101110
+10000000000010111010000000000011
+10101000000000001011101000000000
+00101110100000000100101111100000
+00000010000010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110101
+10001010000010100000000001010000
+00000000000000000000000000000000
+10100000000000010001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+10011100000000001011011100000000
+00101101110000000000101101110000
+00000010001010000000000001000000
+00000000000000000000000000000000
+10101000000010000001111000000000
+11110111100000000010110111100000
+00001111011110000000001111011110
+00000000111101111000000000111101
+11100000000011110111100000000011
+11011110000000001111011110000000
+00111101111000000000111101111000
+00000011001010100000001000000000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000100111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+00000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011110000000000000001110000
+00000000000000000000000000000000
+10101000000100011001110000010000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110010
+00000010111010100000010001100000
+00000000000000000000000000000000
+00000000000000001001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+10000010110000000000000000100000
+00000000000000000000000000000000
+00100000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100111000
+00000010110010000000010000110000
+00000000000000000000000000000000
+10101000000101011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110111000
+00000011111010100000010001100000
+00000000000000000000000000000000
+10000000000000001110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111000000000000000110000
+00000000000000000000000000000000
+00000001000100001111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00001011000000000100010000110000
+00000000000000000000000000000000
+10000001000001000110110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000100101100111100
+10000010001000000100000000010000
+00000000000000000000000000000000
+10000000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110111000
+00000010001000000000000001000000
+00000000000000000000000000000000
+00001000000001000000110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100100000
+00000010000000100000000100000000
+00000000000000000000000000000000
+00000000000011010110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110010000
+00000011000000000000001101010000
+00000000000000000000000000000000
+10100000000111011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111010000000011001110000
+00000000000000000000000000000000
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111000000000000110011
+11100000000011111111100000000011
+11111000000000001100111110000000
+00111111111000000000111111111000
+00000011111100000000000001110000
+00000000000000000000000000000000
+10000000000100001110111000000000
+10111011100000000010111011100000
+00001011101110000000001011101110
+00000000101110011000000100110110
+11100000000010111011100000000010
+11101010000000001000101110000000
+00101110111000000000101110111000
+00000010111000000000011000110000
+00000000000000000000000000000000
+10001000000001011100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100100000000000100000
+11000000000010110011000000000010
+11001000000000001000001100000000
+00101100110000000000101100110000
+00000010111000100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110100000100000100110
+11000000000010111011000000000010
+11101000001000001000101100000000
+00101110110000000000101110110000
+00000010111100000000000001100000
+00000000000000000000000000000000
+00000000000001011110110000000000
+10111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110001100000000110010
+11000000000011111011000000000011
+11101001000000101100101100000000
+00111110110000000000111110110000
+00000011110000000000010001110000
+00000000000000000000000000000000
+11100000000100011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111001010000000111111
+11000000000011111111000000000011
+11111110100000001111111100000000
+00111111110000000000111111110000
+00000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111100100100000000111110
+11000000000011111011000000000011
+11001101000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010000100000
+00000000000000000000000000000000
+11001000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110100000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100100000000001000000
+00000000000000000000000000000000
+11000000000001000000110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100000000000000101100
+11000000000010110011000000000010
+11000100000000001011001100000000
+00101100110000000000101100110000
+00000010111100000000000000010000
+00000000000000000000000000000000
+00100000000000000001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11010010000000001011011110000000
+00101101111000000000101101111000
+00000010110110000000010000010000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000000000011110011000000
+00001111001100000000001111001100
+00000000111100010000000000111100
+11000000000011110011000000000011
+11001000000000001111001100000000
+00111100110000000000111100110000
+00000011110100100000001000010000
+00000000000000000000000000000000
+01000000000111001011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110001000000111111
+11000000000011111111000100000011
+11111000010000001111111100000000
+00111111110000000000111111110000
+00000011110100000000010001100000
+00000000000000000000000000000000
+00001000000001011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111111010000000000111010
+11000000000011111011000000000011
+11101110000000001100101100000000
+00111110110000000000111110110000
+00000011110000100000000001110000
+00000000000000000000000000000000
+01001000000000011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101100010000000000100001
+11000000000010110111000000000010
+11001100000000001101011100000000
+00101101110000000000101101110000
+00000010110100100000011001100000
+00000000000000000000000000000000
+00100000000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000100001
+11100000000010110111100000000010
+11011111000000001000011110000000
+00101101111000000000101101111000
+00000010110010000000000000100000
+00000000000000000000000000000000
+01101000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110100000000100000
+11000000000010110011000000000010
+11001110000000001001001100000000
+00101100110000000000101100110000
+00000010110110100000000000110000
+00000000000000000000000000000000
+11101000000001001010100000000000
+11111010000000000011111010000000
+00001111101000000000001111101000
+00000000111111101000100010111010
+10000000000011111010000000000011
+11111001000000001100101000000000
+00111110100000000000111110100000
+00000011111110100000010001110000
+00000000000000000000000000000000
+01001000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000010000000111110
+00000000000011111000000000000011
+11100000001000001111100000000000
+00111110000000000000111110000000
+00000011110100100000000001100000
+00000000000000000000000000000000
+00001000000100001010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111100010000000000110010
+01000000000011111001000000010011
+11100100000000001011100100000000
+00111110010000000000111110010000
+00000011110000100000010000100000
+00000000000000000000000000000000
+10000000000001000010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00001000101110010000000000100010
+01000000000010111001000000000010
+11100100000000001001100100000000
+00101110010000000000101110010000
+00000010111000000000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000100010
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110011100000000001000000
+00000000000000000000000000000000
+00001000000001001000010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000001100010010100010000000
+01000000000010110001000000000010
+11000100101000001001000100000000
+00101100010000000000101100010000
+00000010110010100000010100000000
+00000000000000000000000000000000
+10111000000111011110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000010000000010010
+00000000000011111000000000000011
+11100000100010001111100000000000
+00111110000000000000111110000000
+00000011111011100000001101010000
+00000000000000000000000000000000
+10111000000111011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111111010000000000111110
+01000000100011111001000000000011
+11110100000000001111100100000000
+00111110010000000000111110010000
+00000011111001100000010001110000
+00000000000000000000000000000000
+00111000000001011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111010
+01000000000011111001000000000011
+11100100000000001100100100000000
+00111110010000000000111110010000
+00000011111001100000000001110000
+00000000000000000000000000000000
+00011000000000001110000000000000
+10111000000000000010111000000000
+00001011100000000000001011100000
+00000000101110000000000000100010
+00000000000010111000000000000010
+11100000000000001101100000000000
+00101110000000000000101110000000
+00000010110011100000011000110000
+00000000000000000000000000000000
+01001000000000001000010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010100000000101000
+01000000000010110001000000100000
+11100101000000011000000100000000
+00101100010000000000101100010000
+00000010110100100000000000100000
+00000000000000000000000000000000
+00011000000101001010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010010000000100010
+01000000000010111001000000000010
+11100101000000001001100100000000
+00101110010000000000101110010000
+00000010110001100000000000100000
+00000000000000000000000000000000
+10100000000001001010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010110000000111010
+01000000000011111001000000000011
+11000111010000001100100100000000
+00111110010000000000111110010000
+00000011111010000000010001110000
+00000000000000000000000000000000
+01101000000100001010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111100010000000000111110
+01000000000011111001000000000011
+11100110000000001111100100000000
+00111110010000000000111110010000
+00000011110100100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110000100000010000100000
+00000000000000000000000000000000
+00001000000001000010100000000000
+10111010000000000010111010000000
+00001011101000000000001011101000
+00000000101110100000000000101110
+10000000000010111010000000000010
+11101000000000001011101000000000
+00101110100000000000101110100000
+00000010110010100000000001000000
+00000000000000000000000000000000
+00001000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010100000000001010000
+00000000000000000000000000000000
+00100000000000010001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111000000000010001000000
+00000000000000000000000000000000
+00101000000110000001111000000000
+11110111100000000011110111100000
+00001111011110000000001111011110
+00000000111101111000000000111101
+11100000000011110111100000000011
+11011110000000001111011110000000
+00111101111000000000111101111000
+00000001111000100000001000100000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000100000010001100000
+00000000000000000000000000000000
+01100000000001001011111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011110100000000000000100000
+00000000000000000000000000000000
+10101000000100001001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010100000011000100000
+00000000000000000000000000000000
+00000000000000001001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110001000000000000100000
+00000000000000000000000000000000
+01000000000101001000110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110010000000101100
+11000000000010110011000000000010
+11001101000000001011001100000000
+00101100110000000000101100110000
+00000010110110000000000000100000
+00000000000000000000000000000000
+10101000000001011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110111110000000111110
+11000000000011111011000000000011
+11101101000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000010001100000
+00000000000000000000000000000000
+10100000000100001110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111001000000000000110000
+00000000000000000000000000000000
+00000001000100001111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100001000001111111100000000
+00111111110000000000111111110000
+00000011111010000000010000110000
+00000000000000000000000000000000
+10000001000001000110110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111010010100000000010000
+00000000000000000000000000000000
+10000000000001010110110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000000000001000000
+00000000000000000000000000000000
+00001000000100000000110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110000100000010100000000
+00000000000000000000000000000000
+00000000000010000110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111000000000001101010000
+00000000000000000000000000000000
+10100000000101011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111010000000010001110000
+00000000000000000000000000000000
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+00111110000000001100111110000000
+00110011101000000000110011011000
+00000011001100000000000001110000
+00000000000000000000000000000000
+11000000000100001110111000000000
+10111011100000000010111011100000
+00001011101110000000001011101110
+00000000101110111000000000101110
+11100000000010111011000000000010
+00001100000000001000101100000000
+00100010100000000010100010010000
+00001010001100000000010000110000
+00000000000000000000000000000000
+11001000000001011100110000000000
+10110011000000000010110011000000
+00001011001100000000001010001100
+00000000101100110000000000101100
+11000000000010110011000000001010
+00001100000000101000001100000000
+10100000100000000010100000010000
+00001010001100100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010110011000000000010
+00001100000000001000001100000000
+00100000100000000000100000011000
+00000010001100000000010001100000
+00000000000000000000000000000000
+10000000000101011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+00101100000000001100101100000000
+00110010100000000000110010011010
+00000011001000000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+10111111100000000000111111010000
+10000011111110000000000001100000
+00000000000000000000000000000000
+01000100000100001010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011001000000011
+11101100100000001101101100100000
+00110010100010000000110010010000
+00000011000100000000010000100000
+00000000000000000000000000000000
+11011000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011010000000010
+11101101000000001000101101000000
+00100010100100000000100010111100
+00100010001101100000000001000000
+00000000000000000000000000000000
+11100000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001001001100000000
+10100000100000000000100000011100
+00000010001110100000000001010000
+00000000000000000000000000000000
+11110000000000010001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001001011110000000
+00100011101000000010100011011000
+00000110001111000000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000100
+11110011000000010011110011000000
+01001111001100000000011111001100
+00000000111100110000000000111100
+11000000000011110011000000000011
+11001100000000001101101100000000
+00110000100000000000110000010000
+10000011000100100000001000000000
+00000000000000000000000000000000
+01000000100111011011110001000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001110111100000000
+10111101100000000000111101010000
+00000011110100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110100000000100110010010000
+01000011001010100000000001110000
+00000000000000000000000000000000
+11001000100100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101100000000000100001010000
+00000011011100100010010001100000
+00000000000000000000000000000000
+10000001000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101101000000000100111001000
+00000010011001000100000000100000
+00000000000000000000000000000000
+01001000000101001100110000000000
+10110011000000000010110011000000
+01001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11101100000000001011001100000000
+00101100100000000000100100001100
+00000010010100100000010000110000
+00000000000000000000000000000000
+11100000100101011010100000000000
+11111010000000010011111010000001
+00001111101000000000001111101000
+00000000111110100000000000111110
+10000000000011111010000000000011
+11101000000000001111101000000000
+00111111100000000000110111101001
+00000011011110100000010001100000
+00000000000000000000000000000000
+01001000000000001110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000010111010000001
+00000011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001110010000010000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+01100110100000001101100100000000
+10110010010000000000110010010000
+00000011000000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+00100110000000001000100100000000
+00100000010000000000100000010000
+10000010001001000000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010110001000000000010
+01100100000000001001000100000000
+00100010010000000111100010010000
+01001110000001100000000001000000
+00000000000000000000000000000000
+00001000000001000000010000010000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+00000100000000001000000100000000
+00100010010000000000100010010000
+00000010000000100000000100000000
+00000000000000000000000000000000
+10111000000011010110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000010100000011
+01100001010000101101100001010000
+00110000000101000000110010000101
+00100011001011110000001101010000
+00000000000000000000000000000000
+11011000000111011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001100000000011
+11100110000000001111100110000000
+10111111011000000000111101011000
+00000011111001100000011001110000
+00000000000000000000000000000000
+10011000000001011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00110010010000000000110010010000
+00000011011101100000000001110000
+00000000000000000000000000000000
+01111000000100001110000000000000
+10111000000000000010111000000000
+00001011100000000000001011100000
+00000000101110000000000000101110
+00000000000010111000000000000010
+11100000000000001011100000000000
+00100010000000010000100001100000
+00000010000111110000010000110000
+00000000000000000000000000000000
+01001000000001011100010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11010100000000001011010100000000
+00100101010000001110100011010000
+00000010010100100000000101110000
+00000000000000000000000000000000
+00011000000101011010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11110100000000001011010100000000
+10100111010000000000100011011000
+01000010000001100000010001100000
+00000000000000000000000000000000
+10100010000101011110010000001000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00110110010000000000110000010100
+00000011011011000000010001110000
+00000000000000000000000000000000
+01101000000000011010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111010010000000000111110010000
+00011011110110100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00110010000000000000111111000000
+00000011000010100000010000100000
+00000000000000000000000000000000
+00100000000001010010100000000000
+10111010000000000010111010000000
+00001011101000000000001011101000
+00000000101110100000000000101110
+10000000000010111010000000000010
+11101000000000001011101010000000
+00100010101000000000101111101000
+00000010100010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001110000000
+00100010111000010000101100101000
+00000010000010100000000001010000
+00000000000000000000000000000000
+10100001000000010001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110110000000000010
+11011000000000001011011000001000
+10100001100000100000101101100000
+10010010101011000000000001000000
+00000000000000000000000000000000
+10101000100010000001111000000000
+11110111100000000011110111100000
+00001111011110000000001111011110
+00000000111101111000000000111101
+11100000000011110111100000000011
+11010110000000001111111110000000
+00110001111000000000111101111000
+00000011001010100000001000000000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111010000000000011
+11100000000000001111101000000000
+00111110100000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+11000000000001011111111001000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111110110000000
+00110111011000000000110011001000
+00000011001100000000000001110000
+00000000000000000000000000000000
+10101010000100011001110000000100
+00110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010010110000000000010
+11011000000000001011110000000000
+00100011000000000000100011000001
+00000010001010100000010001100000
+00000000000000000000000000000000
+00010000000000001001110000000000
+00110111000000000010110111000000
+00001010011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11010100000000001011010100000000
+00100001010000000000100001011000
+10000010010001100000000000100000
+00000000000000000000000000000000
+01100000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010010010000000000010
+11100000000000001011100000000000
+00100010000000000000100000011000
+00000010010110000000010000110000
+00000000000000000000000000000000
+11111000000101011010110000000000
+11111011000000000011111011000000
+00001110101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+10110010110000000010110000101000
+00001011011111100000010001100000
+00000000000000000000000000000000
+10000100000000001110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111010000000000011
+11101000000000001111101000000000
+00111110100000000000111110100000
+00000011101100000000000000110000
+00000000000000000000000000000000
+11000000000100001111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11110100000000001101111100000000
+00110011110000000000110011110000
+00000011001000000000010000110000
+00000000000000000000000000000000
+10000000000000000110110000000000
+10111011000000000010111011000000
+00001011101100000000001111101100
+00000000101110110000000000101110
+11000000000010111010000000000010
+11100000000000001000101000000000
+00110010100000000010100010110000
+00010010001000000000000000010000
+00000000000000000000000000000000
+10000000000001010010110000000001
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001001100100000000
+00100010010000001000100010000000
+00000010001000000000000001000000
+00000000000000000000000000000000
+00001000000001000000110000000000
+10110011000000000010110011000000
+00001011001100000000001010001100
+00000000101100110000000000101100
+11000000000010110010000000000010
+11001000000000001000000000000000
+00100100000000000000100010000000
+00000110000000100000000100000000
+00000000000000000000000000000000
+10000000000011010110110000000000
+11111011000000000011111011000000
+00001111101100000000001011101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11100100000000001101100100000000
+00110010010000000000110010010000
+00000011001000000000001101010000
+00000000000000000000000000000000
+10100000000111011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111110000000000011
+11110000000000001111110000000000
+10111011000000000000111111010000
+00000011111010000000011001110000
+00000000000000000000000000000000
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111011111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011111100000000000001110000
+00000000000000000000000000000000
+10000000000100001110111000000000
+10111011100000000010111011100000
+00001011101110000000001011001100
+00000000100010111000000000101110
+11100000000010111011100000000010
+11101110000000001011101110000000
+00101110111000000000101110111000
+00000010111000000000010000110000
+00000000000000000000000000000000
+10001000000001011100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101000110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111000100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000100010110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100000000010001100000
+00000000000000000000000000000000
+00000000000101011110110000000000
+11111011000000000011111011000000
+00001111101100000000001011101100
+00000000111010110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+01111110110000000000111110110000
+00000011110000000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111101100000000001110101100
+01000000110010110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010000100000
+00000000000000000000000000000000
+11001000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101101
+01000010100010110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000010000101110110000
+00000010111100100000000001000000
+00000000000000000000000000000000
+11100000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011101100
+00000000100000110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111110000000000001010000
+00000000000000000000000000000000
+00100000000000010001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+10000000100001111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000001101111000
+00000010110110000000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000000000011110011000000
+00001111001100000000001111001100
+00001100110000110000010100111100
+11000000100011110011000000000011
+11001100000000001111001100000000
+00111100110000000000111100110000
+00000011110100100000001000000000
+00000000000000000000000000000000
+01000000000111011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+10000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101110
+00000000110010110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000000001110000
+00000000000000000000000000000000
+01001000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000110101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110100100000010001100000
+00000000000000000000000000000000
+11000000000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000100001111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010111100000000000000100000
+00000000000000000000000000000000
+01001000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000100100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110100100000010000110000
+00000000000000000000000000000000
+11101000000101011010100000000000
+11111010000000000011111010000000
+00001111101000000000000011101000
+00000000110010100000000000111110
+10000000010011111010000000000011
+11101000000000001111101000000000
+00111110100000000000111110100000
+00000011111110100000010001100000
+00000000000000000000000000000000
+01001000000000001110000000000000
+11111000000000000011111000000000
+00001111100000000000001011100000
+00000000111110000000000000111110
+00000000000011111000000000000001
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001110010000000000
+11111001000000000011111001000000
+00001101100100000000001111100100
+01001000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010111000000000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00001001100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000000001000000
+00000000000000000000000000000000
+00001000000001000000010000000000
+10110001000000000010110001000000
+00001011000100000000001011001100
+00000000101100010000010100101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000100000000
+00000000000000000000000000000000
+10111000000011011110000000000000
+11111000000000000011111000000000
+00001101100000000000001111000000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011111011100000001101010000
+00000000000000000000000000000000
+10011000000111011110010000000000
+11111001000000000011111001000000
+00001111100100000000011111100100
+10100000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111001100000011001110000
+00000000000000000000000000000000
+00011000000001011110010000000000
+11011001000000000011111001000000
+00001111100100000000001111110100
+00000000111110010000000000111110
+01000000100011111001000000000011
+11100100000000001111100100000010
+01111110010000000000111110010000
+00000011111001100000000001110000
+00000000000000000000000000000000
+00111000000100001110000000000000
+10111000000000000010111000000000
+00001011100000000000001011100000
+10000000101110000000000000101110
+00000000000010111000000000000010
+11100000000000001011100000000000
+01101110000000000000101110000000
+00000010110011100000010000110000
+00000000000000000000000000000000
+00001000000001011100010000000000
+10010001000000000010110001000000
+00001011000100000000001011000100
+00100000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+01101100010000000000101100010000
+00000010110000100000000101110000
+00000000000000000000000000000000
+00011000000101011010010000000000
+10111001000000000010111001000000
+00001011100100000000011011100100
+00001000101110010000000000101110
+01000000000010111001000000000010
+11100100000001001011100100000000
+00101110010000000000101110010000
+00000010110001100000010001100000
+00000000000000000000000000000000
+10100000000101001010010000000000
+11011001000000000011111001000000
+00001111100100000000001111100100
+00010000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00101110010000000000111110010000
+00000011111010000000010001110000
+00000000000000000000000000000000
+00101000000000001010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000010000111110
+01000000000011111001000000000011
+11100100000100001111100100000000
+00111110010000000000111110010000
+00000011110010100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000010000111110
+00000000000011111000000000000011
+11100000000000001111100000000001
+00111110000000000000111110000000
+00000011110010100000010000100000
+00000000000000000000000000000000
+00101000000001000010100000000000
+10111010000000000010111010000000
+00001011101000000000000011111000
+10000000101110100000000000101110
+10000000000010111010000000000010
+11101000000001001011101000000000
+00101110100000000000101110100000
+00000010110010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+10000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010100000000001010000
+00000000000000000000000000000000
+00100000000000010001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000000110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010000000000001000000
+00000000000000000000000000000000
+00101000000010000001111000000000
+11110111100000000011110111100000
+00001111011110000000001111011010
+00000000111101111000000000111101
+11100000000011110111100000000011
+11011110000000001111011110000000
+00111101111000000000111101111000
+00000011111010100000001000000000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111111000
+00000000111110110000000000111110
+11000000000011111011000001000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+01000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011110100000000000001110000
+00000000000000000000000000000000
+10101000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010100000010001100000
+00000000000000000000000000000000
+00000000000000001001110000000000
+10110111000000000010110111000000
+00001010011100000000001011010000
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110001000000000000100000
+00000000000000000000000000000000
+01100000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011101000
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110110100000010000110000
+00000000000000000000000000000000
+10101000000101011010110000000000
+11111011000000000011111011000000
+00001110101100000000001111100100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000010001100000
+00000000000000000000000000000000
+10000000000000001110110000000000
+11111011000000000011111011000000
+00001111101100000000001111100101
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111001000000000000110000
+00000000000000000000000000000000
+00000001000100001111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111000
+01000000110011110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00010011111000000000010000110000
+00000000000000000000000000000000
+10000001000001000110110000000000
+10111011000000000010111011000000
+00001011101100000000001011100101
+10000000100010110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000100000000010000
+00000000000000000000000000000000
+10000000000001010110110000000000
+10111011000000000010111011000000
+00001011101100000000001011100100
+00000000100010110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000000000001000000
+00000000000000000000000000000000
+00001000000000000000110000000000
+10110011000000000010110011000000
+00001011001100000000001011000000
+00000010100000110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110000100000000100000000
+00000000000000000000000000000000
+00000000000010000110110000000000
+11111011000000000011111011000000
+00001111101100000000001111100000
+00000000110010110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111000000000001101010000
+00000000000000000000000000000000
+10100000000111011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111110000
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000001000111111110000
+00000011111010000000011001110000
+00000000000000000000000000000000
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000100111101101000
+00000011011100000000000001110000
+00000000000000000000000000000000
+11000000000100001110111000000000
+10111011100000000010111011100000
+00001011101110000000001011101110
+00000000101110111000000000101110
+11100000000010111011100000000010
+11101110000000001011101110000010
+00101110111000000000101110111000
+00000010001100000000010000110000
+00000000000000000000000000000000
+11001000000001011100110000010000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101110110000
+00000010011100100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010001100000000010001100000
+00000000000000000000000000000000
+11000000000100011110110000000000
+10111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000100111110111100
+00000011011100000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000010
+00111111110000000000111111111001
+00000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110100
+00000011000101010000010000100000
+00000000000000000000000000000000
+11011000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001111101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00111010110000000000101100111101
+00000010001100100000000001000000
+00000000000000000000000000000000
+11101000000001010100110000000001
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100111001
+00000010001110000000000001010000
+00000000000000000000000000000000
+10110000100000010001111000000000
+10110111100000000010110111100000
+00001011011110000000001010011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000010
+00101001111000000000101111111000
+00001010001011000000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000000000011110011000000
+00001111001100000000001011001100
+00000000111100110000000000111100
+11000000000011110011000000000011
+11001100000000001111001100000000
+00111100110000000000111100110001
+00000011000100100000001000000000
+00000000000000000000000000000000
+01000000000111011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110001
+00000011110100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000001000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000100111110010000
+00000011111010100000000001110000
+00000000000000000000000000000000
+11001000100100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111100100010010001100000
+00000000000000000000000000000000
+10000000000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101001111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010111001000000000000100000
+00000000000000000000000000000000
+01001000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100111000
+10000010110100100000010000110000
+00000000000000000000000000000000
+11100000000101011010100000000000
+11111010000000000011111010000000
+00001111101000000000001111101000
+00000000111010100000000000111110
+10000000000011111010000000000011
+11101000000000001111101000000000
+00111110100000000000111111101100
+00000011111110100000010001100000
+00000000000000000000000000000000
+01001000000000001110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000001000111110000001
+00000011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110011000
+00000011110000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110011000
+00000010111000100000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110110010
+00000010110001100000000001000000
+00000000000000000000000000000000
+00001000000001000000010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100110000
+00000010110000100000000100000000
+00000000000000000000000000000000
+10111000000011010110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011111011110000001101010000
+00000000000000000000000000000000
+11011000000111011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000001000111111010000
+00000011111001100000011001110000
+00000000000000000000000000000000
+00011000000001011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111111010000
+00000011110101100000000001110000
+00000000000000000000000000000000
+01111000000100001110000000000000
+10111000000000000010111000000000
+00001011100000000000001011100000
+00000000101110000000000000101110
+00000000000010111000000000000010
+11100000000000001011100000000000
+00101110000000000000101110000000
+00100010110111100000010000110000
+00000000000000000000000000000000
+01001000000001011100010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110100100000000101110000
+00000000000000000000000000000000
+00011000000101011010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010100
+00000010110001100000010001100000
+00000000000000000000000000000000
+10100000000101011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110011100
+00000011111010000000010001110000
+00000000000000000000000000000000
+01101000000000011010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110011010
+10000011110110100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000100
+00000011110010100000010000100000
+00000000000000000000000000000000
+00101000000001010010100000000000
+10111010000000000010111010000000
+00001011101000000000001011101000
+00000000101110100000000000101110
+10000000000010111010000000000010
+11101000000000001011101000000000
+00101110100000000000101111101010
+00000010110010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110100
+00000010110010100000000001010000
+00000000000000000000000000000000
+10100000000000010001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010000000000001000000
+00000000000000000000000000000000
+10101000100010000001111000000000
+11110111100000000011110111100000
+00001111011110000000001111011110
+00000000111101111000000000111101
+11100000000011110111100000000011
+11011110000000001111011110000000
+00111101111000000000111101111000
+00000011111010100000001000000000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+00000000100001011111111000001000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011110000000000000001110000
+00000000000000000000000000000000
+10101000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010100000010001100000
+00000000000000000000000000000000
+00000000000000001001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110100
+00000010110000000000000000100000
+00000000000000000000000000000000
+00100000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100100000
+00000010110010000000010000110000
+00000000000000000000000000000000
+10101000000101011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111100110100
+00000011111010100000010001100000
+00000000000000000000000000000000
+10000000000000001110110000000100
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110111000
+00000011111000000000000000110000
+00000000000000000000000000000000
+00000001000100001111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011000000000100010000110000
+00000000000000000000000000000000
+10000000000001000110110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00001010001000000000000000010000
+00000000000000000000000000000000
+10000000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110111000
+00000010001000000000000001000000
+00000000000000000000000000000000
+00001000000001000000110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100010000
+00000010000000100000000100000000
+00000000000000000000000000000000
+00000000000011010110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011000000000000001101010000
+00000000000000000000000000000000
+10100000000111011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111100000
+00000011111010000000011001110000
+00000000000000000000000000000000
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111110000
+00000000111111111000000000111111
+11100000000011111111100000000011
+00111110000000001111111110000000
+00111111111000000000111111111000
+00000011111100000000000001110000
+00000000000000000000000000000000
+10000000000100001110111000000000
+10111011100000000010111011100000
+00001011101110000000001011100010
+00000000101110111000000000101110
+11000000000010111011000000001010
+00101110000000001011101110000000
+00101110111000000000101110111000
+00000010111000000000010000110000
+00000000000000000000000000000000
+10001000000001011100110000000000
+10110011000000000010110011000000
+00001011001100000000001011000000
+00000000101100110000000000101100
+11000000000010110011000000000010
+00001100000000001011001100000000
+00101100110000000000101100110000
+00000010111000100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10111011000000000010111011000000
+00001011101100000000001011100000
+00100000101110110000000000101110
+11000000000010110011000000000010
+00101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100000000010001100000
+00000000000000000000000000000000
+01000000000101011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101011
+00000000111110110000000000111110
+11000000000011111011000000000011
+00101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111100110
+01000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000001
+00111111110000000000111111110000
+00000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101101
+00000000110010110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010000100000
+00000000000000000000000000000000
+11001000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000100010110000000000101110
+11010100000010111011001000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100100000000001000000
+00000000000000000000000000000000
+11100000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011000100
+00000000100000110000000000101100
+11000000000010110011111000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111110000000000001010000
+00000000000000000000000000000000
+00100000000000010001111000000000
+10110111100000000010110111100000
+00001011011110000010001011011010
+00000000100001111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010110010000000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000000000011110011000000
+00001111001100000000001111000000
+10000010110000110000000000111100
+11000000000011110011000000000011
+11001100000000001111001100000000
+00111100110000000000111100110000
+00000011110100100000001000000000
+00000000000000000000000000000000
+01000000000111011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111110000
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111111100
+00000000111110110000000000111110
+11000000000011111011100000000011
+00101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000000001110000
+00000000000000000000000000000000
+01001000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+00011100000000001011011100000000
+00101101110000000000101101110000
+00000010110100100000010001100000
+00000000000000000000000000000000
+11000000000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+00011110000000001011011110000000
+00101101111000000000101101111000
+00000010111100000000000000100000
+00000000000000000000000000000000
+01001000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001111
+11000000101100110000001000101100
+11000000000010110011000000001010
+00001100000000001011001100000000
+00101100110000000000101100110000
+00000010110100100000010000110000
+00000000000000000000000000000000
+11101000000101011010100000000000
+11111010000000000011111010000000
+00001111101000000000001111111001
+00000000111110100000000000111110
+10000000000011111010000000000011
+00101000000000001111101000000000
+00111110100000000000111110100000
+00000011111110100000010001100000
+00000000000000000000000000000000
+01001000000000001110000000000000
+11111000000000000011111000000000
+00001111100000000000001011000000
+00000000111110000000000000111110
+00010000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000110010010000000001111110
+01000100000011001001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000100100010010000000000101110
+01011000001010001001000010000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000011101000000000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00010000100010010000000000101100
+01000000000010001001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000000001000000
+00000000000000000000000000000000
+00001000000001000000010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+10100000100000010000000000101100
+01000000000010000001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010100000100000000100000000
+00000000000000000000000000000000
+10111000000011010110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+10000010110010000000000100101110
+00000000000011000000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011111011100000001101010000
+00000000000000000000000000000000
+10011000000111011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111110100
+00000000111110010000000000111110
+01001010000011111001001010000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011101001100000011001110000
+00000000000000000000000000000000
+00011000000001011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+10000000110010010000000000111111
+01000000010011001101000000000011
+00100100000000001111100100000000
+00111110010000000000111110010000
+00000011110001100000000001110000
+00000000000000000000000000000000
+00111000000100001110000000000000
+10111000000000000010111000000000
+00001011100000000000001011100000
+00000010100010000000000000101110
+00000000001010001000000000000010
+00100000000000001011100000000001
+00101110000000000000101110000000
+00000010110011100000010000110000
+00000000000000000000000000000000
+00001000000001011100010000000000
+10110001000000000010110001000000
+00001011000100000000001011000101
+00000000100000010000000000101100
+01000010000010000001001010001010
+00000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000101110000
+00000000000000000000000000000000
+00011000000101011010010000000000
+10111001000000000010111001000000
+00001011100100000100001011100100
+10000000100010010000000000101100
+11000000100010001001000000000010
+00100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000010001100000
+00000000000000000000000000000000
+10100000000101011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00100000110010010000000000111110
+01000000000011001001000000000011
+00100100000000001111100100000000
+00111110010000000000111110010000
+00000011111010000000010001110000
+00000000000000000000000000000000
+00101000000000011010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000010000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110010100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111000000
+00000000111110000000010000111110
+00000010000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110010100000010000100000
+00000000000000000000000000000000
+00101000000001010010100000000000
+10111010000000000010111010000000
+00001011101000000000001011101000
+00000000101110100000000000101111
+10001000000010110110100010000010
+11101000000000001011101000000000
+00101110100000000000101110100000
+00000010110010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011001000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010100000000001010000
+00000000000000000000000000000000
+10100000000000010001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+10000001000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010000000000001000000
+00000000000000000000000000000000
+10101000000010000001111000000000
+11110111100000000011110111100000
+00001111011110000000001111011110
+00000000111101111000000000111101
+11100000000011110110100000000011
+11011110000001001111011110000100
+00111101111000000000111101111000
+00000011111010100000001000000000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+10000000000011111010000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+00000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000001111011111001000000111101
+11100100000011001111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011110000000000000001110000
+00000000000000000000000000000000
+10101000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000100001110000000000101101
+10000100100010000101010000000010
+11011100000000001011011100010000
+00101101110000000000101101110000
+00000010111010100000010001100000
+00000000000000000000000000000000
+00000000000000001001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101001110000000100101101
+11000000000010000110000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110000000000000000100000
+00000000000000000000000000000000
+00100000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000000011001111
+00000000100000110000000000101100
+10000000001010000001000000000010
+11001100000010001011001100000000
+00101100110000000000101100110000
+00000010110010000000010000110000
+00000000000000000000000000000000
+10101000000101011010110000000000
+11111011000000000011111011000000
+00001111101100000000001011101110
+11000000111010110000000000111110
+11000000000011001011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000010001100000
+00000000000000000000000000000000
+10000000000000001110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+10010000000011111011010000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111000000000000000110000
+00000000000000000000000000000000
+00000001000100001111110000000000
+11111111000000000011111111000000
+00001111111100000000001111101100
+00000000111111110000000000111111
+01100000000011111110100000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110000000100010000110000
+00000000000000000000000000000000
+10000001000000000110110000000000
+10111011000000000010111011000000
+00001011101100000000001110101100
+00000000101110110000000000101110
+01110100000010111010100000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000100000000010000
+00000000000000000000000000000000
+10000000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011001000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00100010111000000000000001000000
+00000000000000000000000000000000
+00001000000001000000110000000001
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+10000000000010110010000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110000100000000100000000
+00000000000000000000000000000000
+00000000000011010110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+10000000000011111010000000000011
+11101100000000001111101100000000
+00111110110000000000011110110000
+00000011110000000000001101010000
+00000000000000000000000000000000
+10100000000111011111110000000000
+11111111000000000011111111000000
+00001111111100000000001110111100
+00000000111111110000000000111111
+10000000000011111110000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111010000000011001110000
+00000000000000000000000000000000
+11000000000000011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011111100000000000001110000
+00000000000000000000000000000000
+10000000000100001110111000000000
+10111011100000000010111011100000
+00001011101110000000001011101110
+00000000101110111000000000101110
+11100000000010111011100000000010
+11101110000000001011101110000000
+00101110111000000000101110111000
+00000010111000000000010000110000
+00000000000000000000000000000000
+10001000000001011100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111000100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100000000010001100000
+00000000000000000000000000000000
+01000000000101011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000010110100000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010000100000
+00000000000000000000000000000000
+11001000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000111110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000011101100100000000001000000
+00000000000000000000000000000000
+11100000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111110000000000001010000
+00000000000000000000000000000000
+00100000000000010001111000000000
+10110111100000000010110111100000
+00011011011110000000001011011110
+00000000101101111000000000101001
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010110010000000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000000000011110011000000
+00001111001100000000001111001100
+00000000111100110000000000101100
+11000000000011110011000000000011
+11001100000000001111001100000000
+00111100110000000000111100110000
+00000011110100100000001000000000
+00000000000000000000000000000000
+01000000000111011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011100100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000000001110000
+00000000000000000000000000000000
+01001000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110100100000010001100000
+00000000000000000000000000000000
+11000000000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010111100000000000000100000
+00000000000000000000000000000000
+01001000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110100100000010000110000
+00000000000000000000000000000000
+11101000000101011010100000000000
+11111010000000000011111010000000
+00001111101000000000001111101000
+00000000111110100000000000111110
+10000000000011111010000000000011
+11101000000000001111101000000000
+00111110100000000000111110100000
+00000011111110100000010001100000
+00000000000000000000000000000000
+01001000000000001110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010111000000000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000000001000000
+00000000000000000000000000000000
+00001000000001000000010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000100000000
+00000000000000000000000000000000
+10111000000011010110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011111011100000001101010000
+00000000000000000000000000000000
+10011000000111011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111001100000011001110000
+00000000000000000000000000000000
+00011000000001011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110001100000000001110000
+00000000000000000000000000000000
+00111000000100001110000000000000
+10111000000000000010111000000000
+00001011100000000000001011100000
+00000000101110000000000000101110
+00000000000010111000000000000011
+10100000000000001011100000000000
+00101110000000000000101110000000
+00000010110011100000010000110000
+00000000000000000000000000000000
+00001000000001011100010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000101110000
+00000000000000000000000000000000
+00011000000101011010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+10100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000010001100000
+00000000000000000000000000000000
+10100000000101011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111010000000010001110000
+00000000000000000000000000000000
+00101000000000011010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110010100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110010100000010000100000
+00000000000000000000000000000000
+00101000000001010010100000000000
+10111010000000000010111010000000
+00001011101000000000001011101000
+00000000101110100000000000101110
+10000000000010111010000000000010
+11101000000000001011101000000000
+00101110100000000000101110100000
+00000010110010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010100000000001010000
+00000000000000000000000000000000
+10100000000000010001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010000000000001000000
+00000000000000000000000000000000
+10101000000010000001111000000000
+11110111100000000011110111100000
+00001111011110000000001111011110
+00000000111101111000000000111101
+11100000000011110111100000000011
+11011110000000001111011110000000
+00111101111000000000111101111000
+00000011111010100000001000000000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+00000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011110000000000000001110000
+00000000000000000000000000000000
+10101000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010100000010001100000
+00000000000000000000000000000000
+00000000000000001001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110000000000000000100000
+00000000000000000000000000000000
+00100000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010000000010000110000
+00000000000000000000000000000000
+10101000000101011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000010001100000
+00000000000000000000000000000000
+10000000000000001110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111000000000000000110000
+00000000000000000000000000000000
+00000001000100001111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110000000100010000110000
+00000000000000000000000000000000
+10000001000001000110110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000100000000010000
+00000000000000000000000000000000
+10000000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000000000001000000
+00000000000000000000000000000000
+00001000000001000000110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110000100000000100000000
+00000000000000000000000000000000
+00000000000011010110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000000000001101010000
+00000000000000000000000000000000
+10100000000111011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111010000000011001110000
+00000000000000000000000000000000
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11110000000000001100111110000000
+00111111111000000100111111111000
+00100011111100000000000001110000
+00000000000000000000000000000000
+10000000000100001110111000000000
+10111011100000000010111011100000
+00001011101110000000001011101110
+00000000101110111000000000101110
+11100000000010111011100000000010
+11100110000000001000101110000000
+00101110111000000000101110111000
+00000010111100000000010000110000
+00000000000000000000000000000000
+10001000000001011100110000000000
+10110011000000000010110011000000
+00001011001100000100001011001100
+00000000101100110000000100101100
+11000001000010110011000000000010
+11000000000000001000001100000000
+00101100110000000000101100110000
+00000010111100100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101000001000001000101100000000
+00101110110000000000101110110000
+00000010111100000000010001100000
+00000000000000000000000000000000
+01000000000101011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101011000000101100101100000000
+00111110110000000000111110110000
+00000011110000000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000011000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11010001000000001111111100000011
+00111111110000000000111111110000
+01000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101001000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010000100000
+00000000000000000000000000000000
+11001000000001010010110000000000
+10111011000000000010111011000000
+10001011101100000000001011101100
+00001000101110110000000000101110
+11000000000010111011000000000010
+11101000000000001011101100000000
+00101110110000000000101110110000
+00000010111101100000000001000000
+00000000000000000000000000000000
+11100000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11000000000000001011001100000000
+00101100110000000000101100110000
+00000010111110000000000001010000
+00000000000000000000000000000000
+00100000000000010001111000000000
+10110111100000000010110111100000
+00001011011110000001001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11010110000000001011011110000000
+00101101111000000000101101111000
+00000010111111100000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000000000011110011000000
+00001111001100000000001111001100
+00000000111100110000000000111100
+11000000000011110011000000000011
+11000100000000001111001100000010
+00111100110000000000111100110000
+00000011110100100000001000000000
+00000000000000000000000000000000
+01000000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11100100010000001111101100000000
+00111110110000000000111110110000
+00000011110100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11111110000000000100101100000000
+00111110110000000000111110110000
+00000011111010100000000001110000
+00000000000000000000000000000000
+01001000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11001100000000001000011100000000
+00101101110000000000101101110000
+00000010111100100000010001100000
+00000000000000000000000000000000
+11000000000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001000011110000000
+00101101111000000000101101111000
+00000010111000000000000000100000
+00000000000000000000000000000000
+01001000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001101011000011000001100000000
+00101100110000000000101100110000
+00000010110100100000010000110000
+00000000000000000000000000000000
+11101000000101011010100000000000
+11111010000000000011111010000000
+00001111101000000000001111101000
+00000000111110100000000000111110
+10000000000011111010000000000011
+11111011000000101100101000000000
+00111110100000000000111110100000
+00000011111110100000010001100000
+00000000000000000000000000000000
+01001000000000001110000000000000
+11111000000000000011111000000000
+01001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000010011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010111000000000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000000001000000
+00000000000000000000000000000000
+00001000000001000000010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100101000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000100000000
+00000000000000000000000000000000
+10111000000011010110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000100000001111100000000000
+00111110000000000000111110000000
+00000011111011100000001101010000
+00000000000000000000000000000000
+10011000000111011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11110100000000001111100100000000
+00111110010000000000111110010000
+00000011111001100000011001110000
+00000000000000000000000000000000
+00011000000001011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100100000011111100100000000
+00111110010000000000111110010000
+00000011111001100000000001110000
+00000000000000000000000000000000
+00111000000100001110000000000000
+10111000000000000010111000000001
+00001011100000000000001011100000
+00000000101110000000000000101110
+00000000010010111000000000000010
+11100001000000001011100000000000
+00101110000000000000101110000000
+00000010110011100000010000110000
+00000000000000000000000000000000
+00001000000001011100010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000101000000001011000100000000
+00101100010000000000101100010000
+00000010110100100000000101110000
+00000000000000000000000000000000
+00011000000101011010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100010000011011100100000000
+00101110010000000000101110010000
+00000010110001100000010001100000
+00000000000000000000000000000000
+10100000000101011110010000000000
+11111001000000000011111001000000
+00001111100100000100001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100111001000001011100100000010
+00111110010000000000111110010000
+00000011111010000000010001110000
+00000000000000000000000000000000
+00101000000000011010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110110100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100001000000001101100000000000
+00111110000000000000111110000000
+00000011110010100000010000100000
+00000000000000000000000000000000
+00101000000001010010100000000000
+10111010000000000010111010000000
+00001011101000000000001011101000
+00000000101110100000000000101110
+10000000000010111010000001000010
+11101000000000001000101000000000
+00101110100000000000101110100000
+00000010110010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001001001100000000
+00101100110000000000101100110000
+00000010110010100000000001010000
+00000000000000000000000000000000
+10100000000000010001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11001110000000001000011100000000
+00101101110000000000101101110000
+00100010111010000000000001000000
+00000000000000000000000000000000
+10101000000010000001111000000000
+11110111100000000011110111100000
+00001111011110000000001111011110
+00010000111101111000000000111101
+11100000000011110111100000000011
+11011110000010001101011110000100
+00111101111000000000111101111000
+00000011111010100000001000000000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001110101100000000
+00111110110000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+00000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100001100011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011110100000001000001110000
+00000000000000000000000000000000
+10101000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+01000000101101110000000000101101
+11000100010010110111000000000010
+11011100000000001011011100010000
+00101101110000000000101101110000
+00000010111010100000010001100000
+00000000000000000000000000000000
+00000000000000001001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110001100000000000100000
+00000000000000000000000000000000
+00100000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000010001011001100
+00000000101100110000000000101100
+11000000000010110011000000010010
+11001111001000001011001100000000
+00101100110000000000101100110000
+00000010110110000000010000110000
+00000000000000000000000000000000
+10101000000101011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101110100000001011101100000000
+00111110110000000000111110110000
+00000011111010000000010001100000
+00000000000000000000000000000000
+10000000000000001110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11001100000000001111101100000000
+00111110110000000000111110110000
+00000011111000000000000000110000
+00000000000000000000000000000000
+00000001000100001111110000000000
+11111111000000000011111111000000
+00011111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001100111100000000
+00111111110000000000111111110000
+00000011111000000000010000110000
+00000000000000000000000000000000
+10000001000001000110110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001101101100000000
+00101110110000000000101110110000
+00000010111000000000000000010000
+00000000000000000000000000000000
+10000000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001000101100000010
+00101110110000000000101110110000
+00000010111000000000000001000000
+00000000000000000000000000000000
+00001000000001000000110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001001001100000000
+00101100110000000000101100110000
+00000010110000100000000100000000
+00000000000000000000000000000000
+00000000000011010110110000000000
+11111011000000000011111011000000
+00001011101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001100101100000000
+00111110110000000000111110110000
+00000011111000000000001101010000
+00000000000000000000000000000000
+10100000000111011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000011111111100000000
+00111111110000000000111111110000
+00000011111010000000011001110000
+00000000000000000000000000000000
+11000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011111100000000000001110000
+00000000000000000000000000000000
+10000000000100001110111000000000
+10111011100000000010111011100000
+00001011101110000000001011101110
+00000000101110111000000000101110
+11100000000010111011100000000010
+11101110000000001011101110000000
+00101110111000000000101110111000
+00000010111000000000010000110000
+00000000000000000000000000000000
+10001000000001011100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111000100000000101110000
+00000000000000000000000000000000
+11000000000101011010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111100000000010001100000
+00000000000000000000000000000000
+00000000000101011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010001110000
+00000000000000000000000000000000
+11100000000000011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+01111111110000000000111111110000
+00000011111110000000000001100000
+00000000000000000000000000000000
+01000000000100001010110000000000
+11111011000000000011111011000000
+00001111101100000000001110101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110100000000010000100000
+00000000000000000000000000000000
+11001000000001010010110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000010
+00101110110000000000101110110000
+00000010111100100000000001000000
+00000000000000000000000000000000
+11100000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001010001100
+00000000101100110000000000101100
+11000000000110110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010111110000000000001010000
+00000000000000000000000000000000
+01100000000000010001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000110010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010110010000000000001000000
+00000000000000000000000000000000
+01001000000010000000110000000000
+11110011000000000011110011000000
+00001111001100000000001110001100
+00000000111100110000000000111100
+11000000000011110011000000000011
+11001100000000011111001100000000
+00111100110000000000111100110000
+00000011110100100000001000000000
+00000000000000000000000000000000
+01000000000111011011110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011110100000000011001100000
+00000000000000000000000000000000
+10101000000001011110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000001000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011111010100000000001110000
+00000000000000000000000000000000
+01001000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110100100000010001100000
+00000000000000000000000000000000
+11000000000000001001111000000000
+10110111100000000010110111100000
+00001011011110000000001011011110
+00000000101101111000000000101101
+11100000000010110111100000000010
+11011110000000001011011110000000
+00101101111000000000101101111000
+00000010111100000000000000100000
+00000000000000000000000000000000
+01001000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000010010
+11001100000000001011001100000100
+00101100110000000000101100110000
+00000010110100100000010000110000
+00000000000000000000000000000000
+11101000000101011010100000000000
+11111010000000000011111010000000
+00001111101000000000001111101000
+00000000111110100000000000111110
+10000000000011111010000000000011
+11101000000000001111101000000000
+00111110100000000000111110100000
+00000011111110100000010001100000
+00000000000000000000000000000000
+01001000000000001110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110100100000000000110000
+00000000000000000000000000000000
+00001000000100001110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110000100000010000110000
+00000000000000000000000000000000
+10000000000001000110010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010111000000000000000010000
+00000000000000000000000000000000
+00011000000001010010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000010110001100000000001000000
+00000000000000000000000000000000
+00001000000001000000010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000100000000
+00000000000000000000000000000000
+10111000000011010110000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011111011100000001101010000
+00000000000000000000000000000000
+10011000000111011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111001100000011001110000
+00000000000000000000000000000000
+10011000000001011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110001100000000001110000
+00000000000000000000000000000000
+00111000000100001110000000000000
+10111000000000000010111000000000
+00001011100000000000001011100000
+00000000101110000000000000101110
+00000000000010111000000000000010
+11100000000000001011100000000000
+00101110000000000000101110000000
+01000010110011100000010000110000
+00000000000000000000000000000000
+00001000000001011100010000000000
+10110001000000000010110001000000
+00001011000100000000001011000100
+00000000101100010000000000101100
+01000000000010110001000000000010
+11000100000000001011000100000000
+00101100010000000000101100010000
+00000010110000100000000101110000
+00000000000000000000000000000000
+00011000000101011010010000000000
+10111001000000000010111001000000
+00001011100100000000001011100100
+00000000101110010000000000101110
+01000000000010111001000000000010
+11100100000000001011100100000000
+00101110010000000000101110010000
+00000110110001100000010001100000
+00000000000000000000000000000000
+10100000000101011110010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011111010000000010001110000
+00000000000000000000000000000000
+00101000000000011010010000000000
+11111001000000000011111001000000
+00001111100100000000001111100100
+00000000111110010000000000111110
+01000000000011111001000000000011
+11100100000000001111100100000000
+00111110010000000000111110010000
+00000011110010100000000001100000
+00000000000000000000000000000000
+00101000000100001010000000000000
+11111000000000000011111000000000
+00001111100000000000001111100000
+00000000111110000000000000111110
+00000000000011111000000000000011
+11100000000000001111100000000000
+00111110000000000000111110000000
+00000011110010100000010000100000
+00000000000000000000000000000000
+00101000000001010010100000000000
+10111010000000000010111010000000
+00001011101000000000001011101000
+00000000101110100000000000101110
+10000000000010111010000000000010
+11101000000000001011101000000000
+00101110100000000000101110100000
+00000010110010100000000001000000
+00000000000000000000000000000000
+00101000000001010100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010100000000001010000
+00000000000000000000000000000000
+10100000000000010001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010000000000001000000
+00000000000000000000000000000000
+10101000000010000001111000000000
+11110111100000000011110111100000
+00001111011110000000001111011110
+00000000111101111000000000111101
+11100000000011110111100000000011
+11011110000000001111011110000000
+00111101111000000000111101111000
+00000011111010100000001000000000
+00000000000000000000000000000000
+00001000000111011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000000000111110110000
+00000011110000100000011001100000
+00000000000000000000000000000000
+01000000000001011111111000000000
+11111111100000000011111111100000
+00001111111110000000001111111110
+00000000111111111000000000111111
+11100000000011111111100000000011
+11111110000000001111111110000000
+00111111111000000000111111111000
+00000011110000000000000001110000
+00000000000000000000000000000000
+10101000000100011001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000100000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010111010100000010001100000
+00000000000000000000000000000000
+00011000000000001001110000000000
+10110111000000000010110111000000
+00001011011100000000001011011100
+00000000101101110000000000101101
+11000000000010110111000000000010
+11011100000000001011011100000000
+00101101110000000000101101110000
+00000010110000000000000000100000
+00000000000000000000000000000000
+01100000000101001100110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000000000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110010000000010000110000
+00000000000000000000000000000000
+10111000000101011010110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000001010011
+11101100000001001111101100000100
+00111110110000000000111110110000
+00000011111010100000010001100000
+00000000000000000000000000000000
+10000000000000001110110000000000
+11111011000000000011111011000000
+00001111101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000011111101100000000
+00111110110000000000111110110000
+00000011111000000000000000110000
+00000000000000000000000000000000
+10000000000100001111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000000011
+11111100000001001111111100000000
+00111111110000000000111111110000
+00000011110000000100010000110000
+00000000000000000000000000000000
+10000001000001000110110000000000
+10111011000000000010111011000000
+00001011101100000000001011101100
+00000000101110110000000000101110
+11000000000010111011000000000010
+11101100000000001011101100000001
+00101110110000000000101110110000
+00000010111000000100000000010000
+00000000000000000000000000000000
+10000000000001010010110000000000
+10111011000000000010111011000000
+00001010101100000000001011101100
+00000000101110110000000000101110
+11000000000000111011000000000010
+11101100000000001011101100000000
+00101110110000000000101110110000
+00000010111000000000000001000000
+00000000000000000000000000000000
+00001000000001000000110000000000
+10110011000000000010110011000000
+00001011001100000000001011001100
+00000000101100110000000000101100
+11000001000010110011000000000010
+11001100000000001011001100000000
+00101100110000000000101100110000
+00000010110000100000000100000000
+00000000000000000000000000000000
+10000000000011010110110000000100
+11111011000000010011111011000000
+10001110101100000000001111101100
+00000000111110110000000000111110
+11000000000011111011000000000011
+11101100000000001111101100000000
+00111110110000010000111110110000
+00000011110000000000001101010000
+00000000000000000000000000000000
+10100000000111011111110000000000
+11111111000000000011111111000000
+00001111111100000000001111111100
+00000000111111110000000000111111
+11000000000011111111000000010011
+11111100000000001111111100000000
+00111111110000000000111111110000
+00000011111010000000011001110000
+00000000000000000000000000000000
+00000000110001010100000100000001
+01110000010000001101110001110000
+00010111000001000000110111000101
+00000001011100011100000011011100
+00010000001101110000010000001101
+11000101000000110110000101000001
+11011100000100000011011100000010
+00001101110000000011000100000000
+00000000000000000000000000000000
+00000000110001010100010000000101
+01110001000000010101110001000000
+01110111000100000001010111000100
+00000101011100010000000101011100
+01000000010101110001000000010101
+11000100000001010011000110000001
+01011100010000000101011100010000
+00010101110000000011000101010000
+00000000000000000000000000000000
+00000000100000000000001000000001
+00100000100000000100100000100000
+00010010000010000000010010000010
+00000001001000000000000001001000
+00100000000100100000100000000100
+10000010000000010010000010000000
+01001000001000000001001000001000
+00000100100000000010000000000000
+00000000000000000000000000000000
+00000000100000000000000000000001
+01100000000000000101100001000000
+00010110000000000000010110000100
+00000101011000000000000001011000
+00000000000101100000000000000101
+10000110000000010110000100000001
+01011000000000000001011000000000
+00000101100000000010000000000000
+00000000000000000000000000000000
+00000000110001010100100000000101
+01110010000000010101110011000000
+01010111001000000001010111001000
+00000101011100100000000100011100
+10000000010101110010010000010101
+11001000000001010111001000000001
+01011100100000000101011100100001
+00010101110000000011000101010000
+00000000000000000000000000000000
+00000000110001010100000000000000
+01100000000000000001100000000000
+00000110000000000000000110000000
+00000000011000000000000000011000
+00000000000001100000000000000001
+10000000000000000110000001000000
+00011000000000000000011000000000
+00000001100000000011000100000000
+00000000000000000000000000000000
+00000000110001010100110000000100
+00100010000000010000100000000000
+01000010001000000001000010000010
+00000100001000100000000100001000
+10000000010000100010000000010000
+10001000000001000010001000000001
+00001000100000000100001000100000
+00010000100000000011000100000000
+00000000000000000000000000000000
+00000000010001010100101000000101
+01000010100000010101000001110000
+00010100001010000001010100000000
+00000001010000101000000101010000
+10100000010101000011100000010101
+00001010000001010100001011000000
+01010000101100000101010000101100
+00010101000000000011000101010000
+00000000000000000000000000000000
+00000000100000000001111000000001
+00000011000000000101010111000000
+00010001011100000000010101001110
+00000001010100110000000001010101
+11000000000101010111000000000101
+01001100000000010101011100000000
+01000000110000000001000100110100
+00000100010000000010000000000000
+00000000000000000000000000000000
+00000000100000000000010000000100
+00000000000000000001000001000000
+01000001000000000100000100001100
+00000000010000000000000000010000
+00000000000001000001000000000001
+00000000000000000100000000000000
+00000000000000000000000100000000
+00000000010000010010000000000000
+00000000000000000000000000000000
+00000000110001010110000000000010
+00001000000000001000001000000000
+00100001100000000000100000100000
+00000010000010000000000010000010
+00000000001000001000000000001000
+00100000000000100000100000000000
+10000010000000000010000110000000
+00001000010000010011000101010000
+00000000000000000000000000000000
+00000000110001010101000000000101
+00100000000000010101100100000000
+01010110010000000000010110000000
+00000111011000000000000101011001
+00000000010101100100000000010101
+10000000000001010110010000000001
+01001000000000000101011000000000
+00010101100000000011000100000000
+00000000000000000000000000000000
+00000000110001010100000000000011
+01100000000000001101100000000000
+00010110000000000000110110001000
+00000001011000000000000011011000
+00000000001101100000000000001101
+10000000000000110110000000000001
+11011000000000000011011000000000
+00001101100000000011000100000000
+00000000000000000000000000000000
+00000000110001010100001000000100
+00110000100000010000110000100000
+01100011000010000001000001000010
+00000100001100001000000100001100
+00100000010000110000100000010000
+11000010000001000011000010000001
+10001100001000000100001100001000
+00010000110000000011000101010000
+00000000000000000000000000000000
+00000000100000000000000000000000
+00110000000000000000110010000000
+00000011000000000000000011000000
+00000000001100000000000000001100
+00000000000000110000000000000000
+11000000000000000011000000000000
+00001100000000000000001100000000
+00000000110000000010000000000000
+00000000000000000000000000000000
+00000000100000000000001000000001
+00110000100000000100110010110000
+00010011000010000000010011000011
+00000101001100001000000001001100
+00100000000100110000100000000100
+11000010000000010011000010000000
+01001100001000000001001100001000
+00000100110000000010000000000000
+00000000000000000000000000000000
+00000000110001010100001000000101
+01100000100000010101100000110000
+01010111000010000001010110000010
+00000100011000001000000101011000
+00100000010101100000100000010101
+10000010000001010110000010000001
+01011000001000000101011000000000
+00010101100000000011000101010000
+00000000000000000000000000000000
+00000000110001010100001000000000
+00100000100000000000100000100000
+00000110000010000000000010000010
+00000000001100001000000000001000
+00100000000000100000100000000000
+10000010000000000010000010000000
+00001000001000000000001000001000
+00000000100000000011000100000000
+00000000000000000000000000000000
+00000000110001010101001000000100
+01100000100000010001100100100000
+01000010000010000001000100010011
+00000100001100001000000100011000
+00100000010001100100100000010001
+10000010000001000110010010000001
+00011001001000000100011001001000
+00010001100000000011000100000000
+00000000000000000000000000000000
+00000000110001010110000000000101
+01011000000000010101011000000000
+00010001100000000001010101100000
+00000001000110000000000101010110
+00000000010101011000000000010101
+01100000000001000101100000000000
+01010110000000000101010110000000
+00010101010000000011000101010000
+00000000000000000000000000000000
+00000000000001000000011000000001
+01000001100000000101000001100000
+00010100000110000000010100000110
+00000001010000011000000001010000
+01100000000101000001100000000101
+00000110000000010100000110000000
+01010000011000000001010010011000
+00000001000000000010000000000000
+00000000000000000000000000000000
+00000000100001000001001000000001
+00000000100000000100000100100000
+01010000000010000000010000010010
+00000001000000001000000001000000
+00100000000100000100100000000100
+10000010000000010000010010000000
+01000001001000000001000001001000
+00000100000000000010000000000000
+00000000000000000000000000000000
+00000000110001010100011000000011
+01010001100000001101010001100000
+00110101000110000000110101000110
+00000011010100011000000011010100
+01100000001101010001100000001101
+01000110000000110101000110000000
+11010100011000000011010100011000
+00001101010000000011000101010000
+00000000000000000000000000000000
+00000000110001010100011000000101
+01110001100000010101110001100000
+01010111000110000000010111000110
+00000111011100011000000101011100
+01100000010101110001100000010101
+11000110000001010111000110000001
+01011100011000000101011100011000
+00010101110000000011000100000000
+00000000000000000000000000000000
+00000000010001010100011000000011
+01110001100000001101110001100000
+00010111000110000000110110000110
+00000001011100011000000011011100
+01100000001101110001100000001101
+11000110000000110111000110000000
+11011000011000000011011100011000
+00001101110000000001000100000000
+00000000000000000000000000000000
+00000000010001010100011000000101
+01110001100000010101110001100000
+01100011000110000000010011000110
+00000100001100011000000101011100
+01100000010101110001100000010101
+11000110000001010111000110000001
+01001100011000000100011101011000
+00010101110000000001000101010000
+00000000000000000000000000000000
+00000000000000000000001000000001
+00100000100000000100100000100000
+00000010000010000000010010000010
+00000001011100001000000001001000
+00100000000100100000100000000100
+10000010000000010010000010000000
+01001000001000000001001100001000
+00000100100000000000000000000000
+00000000000000000000000000000000
+00000000000000000000011000000001
+01100001100000000101100001100000
+00010010000110000000010110000110
+00000101011000011000000001011000
+01100000000101100001100000000101
+10000110000000010110000110000000
+01011000011000000001011000011000
+00000101100000000000000000000000
+00000000000000000000000000000000
+00000000010001010100000000000101
+00110000000000010101110000000000
+01010111000000000001010101000000
+00000101011100000000000101011100
+00000000010101110000000000010100
+11000000000001000111000000000001
+01001100000000000101011100000000
+00010101110000000001000101010000
+00000000000000000000000000000000
+00000000010001010100001000000000
+00100000100000000001100000100000
+00000110000010000000000110000010
+00000000011000001000000000011000
+00100000000001100000110000000000
+10000010000000000110000010000000
+00001000001000000000011000001100
+00000001100000000001000100000000
+00000000000000000000000000000000
+00000000010001010100101000000100
+01100000100000010000100000100000
+01000010000010000001000010000010
+00000100001000001000000100001000
+00100000010000100000100000010001
+10000010000001000010001010000001
+00011000001000000100001000001000
+00010000100000000001000100000000
+00000000000000000000000000000000
+00000000010001010100001100000101
+01010000100000010101000000100000
+00010100000010000001010100000010
+00000001010000001000000101010000
+00100000010101000000100000010101
+01000010000001010100000011000001
+01010100001000000101010000001100
+00010101000000000001000101010000
+00000000000000000000000000000000
+00000000000000000000101100000001
+01010000110000000101010000100000
+00010101000011000000010101000011
+00000001010100001100000001010100
+00110000000101010000110000000101
+01000011000000010101001010000000
+01010100001000000001010100001000
+00000100010000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+01100010000000000001000010000000
+01000100001000000000000100001000
+00000000010000100000000000010000
+10000000000001000000000000000001
+00001000000000000100000000000000
+00010000100000000000010000100000
+00000000010000000001000000010000
+00000000000000000000000000000000
+00000000010001010100001100000010
+00000000100000001000000000100000
+00100000000010000000000000000010
+00000010000000001000000010000000
+00100000001000000010100000001000
+00000010000000100000000010000000
+10000000001000000010000000001000
+10001000010000000001000101010000
+00000000000000000000000000000000
+00000000010001010100000000000101
+01100000000000010101100000000000
+01010110000000000001010110000000
+00000111011000000000000101011000
+00000000010101100000000000010101
+10000000000001010110000000000001
+01011000000000000101011000000000
+00010101100000000001000100000000
+00000000000000000000000000000000
+00000000110001010100000000000001
+01100000000000001101100000000000
+00110010000000000000110110000000
+00000001011000000000000011011000
+00000001001101100000000000001101
+10000000000000110110000000000000
+01011000000000000011011000000000
+00011101100000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000100
+00110000000000010000110000010000
+01100010000000000000000011000000
+00000100011100000000000100001100
+00000000000000010000000000010000
+11000000000101000011000001000000
+00001100000000000100001100000001
+00010000110000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00110000000000000000110101100000
+00000010000110000000000011010000
+00000000001001000000000000001100
+01000000000000110001001000000000
+11010000000000000011010100000000
+00001100000000000000001100000000
+00000000110000000000000000000000
+00000000000000000000000000000000
+00000000000000000000010000000001
+00110001010000000100110001010000
+00010010000101000000010011000101
+00000101001100010100000001001100
+01010000000100110001011000000100
+11000101000000010011000101000000
+01001100010000000001001100010000
+00010100110000000000000000000000
+00000000000000000000000000000000
+00000000000000000010001100000101
+01101000110000010101101001110000
+01010110100111000001010110100011
+00000101011010001100000101011010
+00110000010101101001111000010101
+10100011000001010110100111000001
+01011010001100000101011010001100
+00010101100000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00100000000000000000100100000000
+00000010000000000000000010010000
+00000000001001000000000000001000
+01000000000000100010000000000000
+10010000000000000010010001000000
+00001000000000000000001000000000
+00000000100000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000001000100
+01100010000100010001100010000000
+01000110001000000001000110001000
+01000100011000100001000100011000
+10000000010001100000000100010001
+10001000010001000110000000011001
+00011000100001000100011000100001
+00010001100000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000001000101
+01010000000100010101010000000100
+00010101000000010001010101000000
+00000001010100000001000101010100
+01000100010101010000010000010101
+01000000010101010101000000010101
+01010100000001000101010100000001
+00000101010000000000000000000000
+00000000000000000000000000000000
+00000000000000000000100000100001
+01010010000010000101000010001010
+00010100001000001000010100001000
+00100001010000100000000001010000
+10000010000101000010000010000101
+01001000000000010100001000000000
+01010100100000100001010000100000
+10000101000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000101010000100
+00000010100000000100000010100100
+00010000001010000000010000001010
+01100001000000101000000001000000
+10100000000100000010100000000000
+00001010000100010000001010000100
+01000000101000000001000000101000
+00000100000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000110010000010
+00010011000000001101010011001000
+00110101001100000000010101001100
+01000011010100110000000011010100
+11000000000101010011000000001000
+01001100000000110101001100001000
+00000000110000000011010100110010
+00001101010000000000000000000000
+00000000000000000000000000000000
+00000000000000000000100000100101
+01110010000000010101110010001000
+01010111001000000001010111001000
+00000111011100100000000001011100
+10000000010101110010000000010101
+11001000000001010111001000000001
+11011100100000000101011100100000
+10010101110000000000000000000000
+00000000000000000000000000000000
+00000000000000000000001100001000
+01001000110001100001000000110000
+00000100000011000110000100100011
+00000000010010001100010000010000
+00110001100001000000110000100001
+00100011000100000100000011000000
+00010010001100001000010010001100
+00100001000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011111111111111
+01001111111111111101001111111111
+11110100111111111111110100111111
+11111111010011111111111111010011
+11111111111101001111111111111101
+00111111111111110100111111111111
+11010011111111111111010011111111
+11111101000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000010110111111011
+00001011001101101100001001011111
+11110100100100110110110000101100
+10011111010010110011011001000010
+01001101101100001111101001101100
+00101100110110010000111111111110
+01000010110011011011000010110111
+11101100000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011001111111100
+01001100110011110001001101011111
+11110100110101001111000100110010
+10111111010011001100111101000011
+01010011110001001111101011110001
+00110011001111010000111111111111
+01000011001100111100010011001111
+11110001000000000000000000000000
+00000000000000000000000000000000
+00000000000000000010001100011110
+01001110110111111001001110010001
+10000100111001011111100100111010
+01111000010011101101111110000011
+10010111111001001000100111111001
+00111011011111100000100011000111
+10000011101100011110010011101100
+01111001000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000100000010
+01110000010000001001110000010000
+00100111000001000000100111000001
+00000010011100000100000010011100
+00010000001001110000010000001001
+11000001000000100111000001000000
+00011100000100000010011100010100
+00001001110000000000000000000000
+00000000000000000000000000000000
+00000000000000000000010000000101
+01110001000000010101110001000000
+01010111000100000001010111000100
+00000101011100010000000101011100
+01000000010101110001000000010101
+11000100000001010111000100000001
+11011100010000000101011100010000
+00010101110000000000000000000000
+00000000000000000000000000000000
+00000000000000000000001000000001
+00100000100000000100100000100000
+00010010000010000000010010000010
+00000001001000001000000001001000
+00100000000100100000100000000100
+10000010000000010010000010000000
+01001000001000000001001000000000
+00000100100000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+01100000000000000001100000000000
+00000110000000000000000110000000
+00000000011000000000000000011000
+00000000000001100000000000000001
+10000000000000000110000000000000
+00011000000000000000011000001000
+00000001100000000000000000000000
+00000000000000000000000000000000
+00000000000000000000100000000100
+01110010000000010001110010000000
+01000111001000000001000111001000
+00000100011100100000000100011100
+10000000010001110011000000010001
+11001000000001000111001000000001
+00011100100000000100011100100000
+00010001110000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00100000000000000001100000000000
+00000110000000000000000110000000
+00000000011000000000000000011000
+00000000000001100000000000000001
+10000000000000000110000000000000
+00011000000000000000011000000000
+00000001100000000000000000000000
+00000000000000000000000000000000
+00000000000000000000100000000100
+00100010000000010000100010000000
+01000010001000000001000010001000
+00000100001000100000000100001000
+10000000010000100010000000010000
+10001000000001000010010000000001
+00001000100000000100001001100000
+00010000100000000000000000000000
+00000000000000000000000000000000
+00000000000000000010101000000101
+00001010100000010001001010100000
+01000100101010000001000100101010
+00000100010010101000000100010010
+10100000010001001011100000010001
+00101010000001000100100011000000
+00010010101100000100010010101100
+00010001000000000000000000000000
+00000000000000000000000000000000
+00001000110000000000110000000000
+01010011000000000001010011000000
+00000101001100000000000101001100
+00000000010100110000000000010100
+11000000000001010011000000000001
+01001100000000000101001100000000
+00000100110000000000000100110000
+00000100000000000001000000000000
+00000000000000000000000000000000
+00000000110000000000000000000000
+01000000000000000001000000000000
+00000100000000000000000100000000
+00000000010000000000000000010000
+00000000000001000000000000000001
+00000000000000000100010000000001
+00000100000000000000000101000000
+00000000010000000011000000000000
+00000000000000000000000000000000
+00001000110000000100000000000010
+00000000000000001000000000000000
+00100000000000000000100000000000
+00000010000000000000000010000000
+00000000001000000000000000001000
+00000000000000100000000000000000
+10000100000000000010000101000000
+10001000000000000011000000000000
+00000000000000000000000000000000
+00001000110000000100000000000100
+01100000000000010001100000000000
+01000110000000000001000110000000
+00000100011000000000000100011000
+00000000010001100000000010010001
+10000000000001000110000000000001
+00011000000000000100011001010000
+00010001100000000011000000000000
+00000000000000000000000000000000
+00010000000000010100000000000010
+01100000000000001001100000000000
+00100110000000000000100110000000
+00000010011000000000000010011000
+00000000001001100000000000001001
+10000000000000100110000000000000
+00011000000000000010011000000000
+00001001100000100000000000000000
+00000000000000000000000000000000
+01000000010001010100001000000100
+00110000100000010000110000100000
+01000011000010000001000011000010
+00000100001100001000000100001100
+00100000010000110000100010010000
+11000010000001000011000010000001
+10001100001000000100001100001000
+00010000110000000001000101010000
+00000000000000000000000000000000
+01000000000000000000000000000000
+00110000000000000000110000000000
+00000011000000000000000011000000
+00000000001100000000000000001100
+00000100000000110000000100000000
+11000000000000000011001000010000
+00001100000000000000001100000000
+00000000110000000000000000000000
+00000000000000000000000000000000
+01000000000000000000001000000000
+00110000100000000000110000100000
+00000011000010000000000011000010
+00000000001100001000000000001100
+00100000000000110000100000000000
+11000010000000000011001011000000
+00001100001000000000001100001000
+00000000110000000000000000000000
+00000000000000000000000000000000
+01000000010001010100001000000100
+01100000100000010001100000100000
+01000110000010000001000110000010
+00000100011000001000000100011000
+00100000010001100000000010010001
+10000010000001000110000010000001
+00011100001000000100011000001000
+00010001100000000001000101010000
+00000000000000000000000000000000
+01000000000000010100001000000000
+00100000100000000000100000100000
+00000010000010000000000010000010
+00000000001000001000000000001000
+00100000000000100000100000000000
+10000010000000000010000010000000
+00011000001000000000001000001100
+00000000100000000000000000000000
+00000000000000000000000000000000
+01010000000000010100001000000100
+01100000100000010001100000100000
+01000110000010000001000110000010
+00000100011000001000000100011000
+00100000010001100000100000010001
+10000010000001000110000011000001
+00001000001000000100011000001000
+00010001100000000000000000000000
+00000000000000000000000000000000
+01000000010001010100000000000100
+01010000000000010001010000000000
+01000101000000000001000101000000
+00000100010100000000000100010100
+00001000010001010000000000010001
+01000000000001000101000000001000
+00000100000000000100010100000000
+00010001010000100001000101010000
+00000000000000000000000000000000
+01001000000000000000011000000000
+01000001100000000001000001100000
+00000100000110000000000100000110
+00000000010000011000000000010000
+01100000000001000001100000000001
+00000110000000000100000110000000
+00010000011000000000010000011000
+00000001000000000000000000000000
+00000000000000000000000000000000
+01001000000000000000001000000001
+00000000100000000100000000100000
+00010000000010000000010000000010
+00000001000000001000000001000000
+00100000000100000000100000000100
+00000010000000010000000010000001
+01000000001000000001000000011000
+00000100000000000000000000000000
+00000000000000000000000000000000
+01000000010001010100011000000011
+01010001100000001101010001100000
+00110101000110000000110101000110
+00000011010100011000000011010100
+01100000001101010001100000001101
+01000110000000110101000110000000
+11010100011000000011010100011000
+00001101010000000001000101010000
+00000000000000000000000000000000
+00000000000000010100011000000100
+01110001100000010001110001100000
+01000111000110000001000111000110
+00000100011100011000000100011100
+01100000010001110101100000010001
+11000110000001000111000110000001
+00011100011000000100011100011000
+00010001110000000000000000000000
+00000000000000000000000000000000
+01000000000000010100011000000000
+01110001100000001001110001100000
+00100111000110000000100111000110
+00000010011100011000000010011100
+01100001001001110001100000001001
+11000110000000100111000110000000
+00011100011000000010011100011000
+00001001110000000000000000000000
+00000000000000000000000000000000
+01010000010001010100011000000001
+01110001100000010101110001100000
+01010111000110000001010111000110
+00000101011100011000000101011100
+01100000010101110101100000010101
+11000110000001010111000110000001
+10001100011000000101011100011000
+00010101110000100001000101010000
+00000000000000000000000000000000
+01000000000000000000001000000001
+00100000100000000100100000100000
+00010010000010000000010010000010
+00000001001000001000000001001000
+00100000000100100000100000000100
+10000010000000010010010010000000
+00001001001000000001001001001000
+00000100100000000000000000000000
+00000000000000000000000000000000
+01000000000000000000011000000000
+01100001100000000001100001100000
+00000110000110000000000110000110
+00000000011000011000000000011000
+01100000000001100001100000000001
+10000110000000000110000110000000
+00001000011000000000011000011000
+00000001100000000000000000000000
+00000000000000000000000000000000
+00000000010001010110000000000100
+01111000000000010001111000000000
+01000111100000000001000111100000
+00000100011110000000000100011110
+00000000010001111000001000010001
+11100000000001000111100000100001
+00011110000000000100011110000000
+00010001110000000001000101010000
+00000000000000000000000000000000
+01000000000000010100001000000000
+01100000100000000001100000100000
+00000110000010000000000110000010
+00000000011000001000000000011000
+00100000000001100100100000000001
+10000010000000000110010010000000
+00011001001000000000011001001000
+00000001100000000000000000000000
+00000000000000000000000000000000
+01000000000000010100001000000100
+00100000100000010000100000100000
+01000010000010000001000010000010
+00000100001000001000000100001000
+00100000010000100000100000010000
+10000010000001000010000010000001
+00001000001000000100001000001000
+00010000100000000000000000000000
+00000000000000000000000000000000
+01000000010001010100001000000100
+01000000100000010001000000100000
+01000100000010000001000100000010
+00000100010000001000000100010000
+00000010010001000000000000010001
+00000010000001000100000000000000
+00010000001000000100010000001000
+00010001000000000001000101010000
+00000000000000000000000000000000
+01000000000000000000001100000000
+01010000110000000001010000110000
+00000101000011000000000101000011
+00000000010100001100000000010100
+00110000000001010000110000000001
+01000011000000000101000011000000
+00010100001100000000010100001100
+00000001010000000000000000000000
+00000000000000000000000000000000
+01000000000000000000100000000100
+01000010000000000001000010000000
+00000100001000000000000100001000
+00000000010000100000000000010000
+10000100000001000010000100000001
+00001000000000000100001000010001
+00010000100000000000010000100000
+00000001000000000000000000000000
+00000000000000000000000000000000
+01000000010001010100001000000000
+00000000100000001000000000100000
+00100000000010000000100000000010
+00000010000000001000000010000000
+00100000001000000000100010001000
+00000010000000100000000011000000
+10000000001100000010000000001100
+00001000000000000001000101010000
+00000000000000000000000000000000
+01000000000000010100000000000100
+01100000000000010001100000000000
+01000110000000000001000110000000
+00000100011000000000000100011000
+00000000010001100000000000010001
+10000000000001000110000000000001
+00011000000000000100011000000000
+00010001100000000000000000000000
+00000000000000000000000000000000
+01000000000000010100000000000010
+01100000000000001001100000000000
+00100110000000000000100110000000
+00000000011000000000000010011001
+00000000001001100100000000001001
+10000000000000100110010000000000
+00001000000000010010011000000000
+00001001100000000000000000000000
+00000000000000000000000000000000
+01000000010001010110000000000100
+00111000000000010000111000000000
+01000011100000000001000011100000
+00000000001110000000000100001110
+00000000010000111000001000010000
+11100000000001000011100000000001
+10001010000000000100001110000000
+00010000110000000001000101010000
+00000000000000000000000000000000
+01010000000000000000000100000000
+00110000010000000000110000010000
+00000011000001000000000011000001
+00000000001100000100000000001100
+00010000000000110000110000000000
+11000001000000000011000001000000
+00001000000100000000001100000100
+00000000110000000000000000000000
+00000000000000000000000000000000
+01000000000000000000010100000000
+00110001010000000000110001010000
+00000011000101000000000011000101
+00000000001100010100000000001100
+01010000000000110001010000000000
+11000101000000000011000110000000
+00001100010000000000001100010000
+00000000110000100000000000000000
+00000000000000000000000000000000
+01000000010001010100001100000100
+01100000110000010001100000110000
+01000110000011000001000110000011
+00000100011000001100000100011001
+00110000010001100101110000010001
+10000011000001000110010011000001
+00011000001100000100011000001100
+00010001100000000001000101010000
+00000000000000000000000000000000
+01000000000000010100000000000000
+00100000000000000000100000000000
+00000010000000000000000010000000
+00000000001000000000000000001000
+01000000000000100000000000000000
+10000000000000000010000100000000
+00001000000000000000001000000000
+00000000100000000000000000000000
+00000000000000000000000000000000
+01000000000000010100100001000100
+01100010000100010001100010000100
+01000110001000010001000110001000
+01000100011000100001000100011001
+10000000010001100110000000010001
+10001000010001000110011000000001
+00011000100001000100011000100001
+00010001100000000000000000000000
+00000000000000000000000000000000
+01000000010001010100000001000100
+01010000000100010001010000000100
+01000101000000010001000101000000
+01000100010100000001000100010100
+01000100010001010000000000010001
+01000000010001000101000000010000
+00010100000001000100010100000001
+00010001010000000001000101010000
+00000000000000000000000000000000
+01000000000000000000100000100000
+01000010000010000001000010000010
+00000100001000001000000100001000
+00100000010000100000100000010000
+10000010000001000010000010000001
+00001000001000000100001000001000
+00010000100000100000010000100000
+00000001000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000101000000001
+00000010100000000100000010100000
+00010000001010000000010000001010
+00000101000000101000000001000000
+10100000000100000010100000000100
+00001010000000010000001010000001
+01000000101000000001000000101000
+00000100000000000000000000000000
+00000000000000000000000000000000
+01000000010001010100110100000011
+01010011010000001101010011010000
+00110101001101000000110101001101
+00000001010100110100000011010100
+11010000001101010011010000001101
+01001101000000110101001101000000
+11010100110100000011010100110100
+00001101010000000001000101010000
+00000000000000000000000000000000
+01000000000000010100100000000100
+01110010000000010001110010000000
+01000111001000000001000111001000
+00000100011100100000000100011100
+10000000010001110010000000010001
+11001000000001000111001000000001
+00011100100000000100011100100000
+00010001110000000000000000000000
+00000000000000000000000000000000
+00000000000000000010001100011000
+01001000110001100001001000110001
+10000100100011000110000100100011
+00011000010010001100011000010001
+00110001100001000100110010100001
+00100011000110000100010011001001
+00010010001100101000010010001100
+10000001000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011111111111111
+01001111111111111101001111111111
+11110100111111111111110100111111
+11111111010011111111111111010011
+11111111111101001111111111111101
+00111111111111110100111111111111
+11010011111111111111010011111111
+11111101000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000010110011011011
+00001011001101101100001011001101
+10110000101100110110110000101100
+11011011000010110011011011000011
+11101101101100001111111111101100
+00101100110110110000111110110110
+11000010110011011011000010110011
+01111101000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011001100111100
+01001100110011110001001100110011
+11000100110011001111000100110011
+00111100010011001100111100010011
+11110011110001001111111111110001
+00110011001111000100111111001111
+00010011001100111100010011001100
+11111101000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011101101111110
+01001110110111111001001110110111
+11100100111011011111100100111011
+01111110010011101101111110010011
+11110111111001001111111111111001
+00111011011111100100111111000110
+00010011101100011110010011101100
+01111101000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000001010000100
+00000000101000010000000000101000
+01000000000010100001000000000010
+10000100000000001010000100000000
+00101000010000000000101000010000
+00000010100001000000000010100001
+00000000001010000100000000001010
+00010000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000100
+00000000000000010000000000000000
+01000000000000000001000000000000
+00000100000000000000000100000000
+00000000010000000000000000010000
+00000000000001000000000000000001
+00000000000000000100000000000000
+00010000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000010000100
+00000000001000010000000000001000
+01000000000000100001000000001000
+10000100000000100010000100000000
+10001000010000000010001000010000
+00001000100001000000000000100001
+00000000000010000100000000000010
+00010000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000100
+00000000000000010000000000000000
+01000000000000000001000000000000
+00000100000000000000000100000000
+00000000010000000000000000010000
+00000000000001000000000000000001
+00000000000000000100000000000000
+00010000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000010000000
+00000000001000000000000000001000
+00000000000000100000000000000000
+10000000000000000010000000000000
+00001000000000000000001000000000
+00000000100000110011000000100000
+00000000000010000000000000000010
+00000000000000000000000000000000
+00000000000000000000000000000000
+00001000000000000000001000000000
+00000000100000000000000000100000
+00000000000010000000000000000010
+00000000000000001000000000000000
+00100000000000000000100000000000
+00000010000000000000000010000000
+00000000001000000000000000001000
+00000000000000100000000000000000
+00000000000000000000000000000000
+00001000000000000000100010000000
+00000010001000000000000010001000
+00000000001000100000000000001000
+10000000000000100010000000000000
+10001000000000000010001000000000
+00001000100000000000001000100000
+00000000100010000000000000100010
+00000000000000100000000000000000
+00000000000000000000000000000000
+00001000000000000000100000000000
+00000010000000000000000010000000
+00000000001000000000000000001000
+00000000000000100000000000000000
+10000000000000000010000000000000
+00001000000000110011001000000000
+00000000100000000000000000000000
+00000000000000100000000000000000
+00000000000000000000000000000000
+00001000000000000000101010000100
+00000010101000010000000010101000
+01000000001010100001000000001010
+10000100000000101010000100000000
+10101000010000000010101000010000
+00001010100001000000001010100001
+00000000101010000100000000101010
+00010000000000100000000000000000
+00000000000000000000000000000000
+00001000000000000000100000000100
+00000010000000010000000010000000
+01000000001000000001000000001000
+00000100000000100000000100000000
+10000000010000000010000000010000
+00001000000001000000001000000001
+00000000100000000100000000100000
+00010000000000100000000000000000
+00000000000000000000000000000000
+00001000000000000000000010000100
+00000000001000010000000000001000
+01000000000000100001000000000000
+10000100000000000010000100000000
+00001000010000000000001000010000
+00000000100001000000000000100001
+00000000000010000100000000000010
+00010000000000100000000000000000
+00000000000000000000000000000000
+00001000000100000000000000000111
+00110000000000011100110000000000
+01000000000000000001000000000000
+00000111001100000000000111001100
+00000000011100110000000000011100
+11000000000001110011000000000001
+11001100000000000111001100000000
+00011100110000100000010000000000
+00000000000000000000000000000000
+00001000000000000000000010000100
+00000000001000010000000000001000
+01000000000000100001110011000000
+10000100000000000010000100000000
+00001000010000000000001000010000
+00000000100001000000000000100001
+11001100000010000100000000000010
+00010000000000100000000000000000
+00000000000000000000000000000000
+00000000000000000000001000000000
+00000000100000000000000000100000
+00000000000010000001000000000010
+00000000000000001000000000000000
+00100000000000000000100000000000
+00000010000000000000000010000001
+00000000001000000000000000001000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000010000000
+00000000001000000000000000001000
+00000000000000100001000000000000
+10000000000000000010000000000000
+00001000000000000000001000000000
+00000000100000000000000000100001
+00000000000010000000000000000010
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000100001000000000000000
+00000000000000000000000000000000
+00000000000000000000110011000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000010000100000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00111100001111000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000011110000111100000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011111111111111
+11111111111111111100000000000000
+00000000000000000000000000111111
+11111111111111111111111111000000
+00000000000000000000000000000000
+00111111111111111111111111111111
+11000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011111111111111
+11111111111111111100000000000000
+00000000000000000000000000111111
+11111111111111111111111111000000
+00000000000000000000000000000000
+00111111111111111111111111111111
+11000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011111111111111
+11111111111111111100000000000000
+00000000000000000000000000111111
+11111111111111111111111111000000
+00000000000000000000000000000000
+00111111111111111111111111111111
+11000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011111111111111
+11111111111111111100000000000000
+00000000000000000000000000111111
+11111111111111111111111111000000
+00000000000000000000000000000000
+00111111111111111111111111111111
+11000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011111111111111
+11111111111111111100000000000000
+00000000000000000000000000111111
+11111111111111111111111111000000
+00000000000000000000000000000000
+00111111111111111111111111111111
+11000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011111111111111
+11111111111111111100000000000000
+00000000000000000000000000111111
+11111111111111111111111111000000
+00000000000000000000000000000000
+00111111111111111111111111111111
+11000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000001010000100
+00000000101000010000000000101000
+01000000000010100001000000000010
+10000100000000001010000100000000
+00101000010000000000101000010000
+00000010100001000000000010100001
+00000000001010000100000000001010
+00010000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000100
+00000000000000010000000000000000
+01000000000000000001000000000000
+00000100000000000000000100000000
+00000000010000000010000000010000
+00001000000001000000001000000001
+00000000100000000100000000100000
+00010000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000010000100
+00000000001000010000000000001000
+01000000000000100001000000000000
+10000100000000000010000100000000
+00001000010000000000001000010000
+00000000100001000000000000100001
+00000000000010000100000000000010
+00010000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000100
+00000000000000010000000000000000
+01000000000000000001000000000000
+00000100000000000000000100000000
+00000000010000000000000000010000
+00000000000001000000000000000001
+00000000000000000100000000000000
+00010000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000010000000
+00000000001000000000000000001000
+00000000000000100000000000000000
+10000000000000000010000000000000
+00001000000000000000001000000000
+00000000100000000000000000100000
+00000000000010000000000000000010
+00000000000000000000000000000000
+00000000000000000000000000000000
+00001000000000000000001000000000
+00000000100000000000000000100000
+00000000000010000000000000000010
+00000000000000001000000000000000
+00100000000000000000100000000000
+00000010000000000000000010000000
+00000000001000000000000000001000
+00000000000000100000000000000000
+00000000000000000000000000000000
+00000000000000000000100010000000
+00000010001000000000000010001000
+00000000001000100000000000001000
+10000000000000100010000000000000
+10001000000000000010001000000000
+00001000100000000000001000100000
+00000000100010000000000000100010
+00000000000000100000000000000000
+00000000000000000000000000000000
+00001000000000000000100000000000
+00000010000000000000000010000000
+00000000001000000000000000001000
+00000000000000100000000000000000
+00000000000000000000000000000000
+00001000000000000000000000000000
+00000000100000000000000000100000
+00000000000000100000000000000000
+00000000000000000000000000000000
+00001000000000000000101010000100
+00000010101000010000000010101000
+01000000001010100001000000001010
+10000100000000101010000100000000
+10101000010000000010101000010000
+00001010100001000000001010100001
+00000000101010000100000000101010
+00010000000000100000000000000000
+00000000000000000000000000000000
+00001000000000000000100000000100
+00000010000000010000000010000000
+01000000001000000001000000001000
+00000100000000100000000100000000
+10000000010000000010000000010000
+00001000000001000000001000000001
+00000000100000000100000000100000
+00010000000000100000000000000000
+00000000000000000000000000000000
+00000000000000000000000010000100
+00000000001000010000000000001000
+01000000000000100001000000000000
+10000100000000000010000100000000
+00001000010000000000001000010000
+00000000100001000000000000100001
+00000000000010000100000000000010
+00010000000000100000000000000000
+00000000000000000000000000000000
+00001000000100000000000000000111
+00110000000000011100110000000000
+01110011000000000001110011000000
+00000111001100000000000111001100
+00000000011100110000000000010000
+00000000000001110011000000000001
+11001100000000000111001100000000
+00011100110000100000010000000000
+00000000000000000000000000000000
+00001000000000000000000010000100
+00000000001000010000000000001000
+01000000000000100001000000000000
+10000100000000000010000100000000
+00001000010000000000001000010000
+00000000100001000000000000100001
+00000000000010000100000000000010
+00010000000000100000000000000000
+00000000000000000000000000000000
+00000000000000000000001000000000
+00000000100000000000000000100000
+00000000000010000000000000000010
+00000000000000001000000000000000
+00100000000000000000100000000000
+00000010000000000000000010000000
+00000000001000000000000000001000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000010000000
+00000000001000000000000000001000
+00000000000000100000000000000000
+10000000000000000010000000000000
+00001000000000000000001000000000
+00000000100000000000000000100000
+00000000000010000000000000000010
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000100001000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000010000100000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00111100001111000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000011110000111100000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011111111111111
+11111111111111111100000000000000
+00000000000000000000000000111111
+11111111111111111111111111000000
+00000000000000000000000000000000
+00111111111111111111111111111111
+11000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011111111111111
+11111111111111111100000000000000
+00000000000000000000000000111111
+11111111111111111111111111000000
+00000000000000000000000000000000
+00111111111111111111111111111111
+11000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011111111111111
+11111111111111111100000000000000
+00000000000000000000000000111111
+11111111111111111111111111000000
+00000000000000000000000000000000
+00111111111111111111111111111111
+11000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011111111111111
+11111111111111111100000000000000
+00000000000000000000000000111111
+11111111111111111111111111000000
+00000000000000000000000000000000
+00111111111111111111111111111111
+11000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011111111111111
+11111111111111111100000000000000
+00000000000000000000000000111111
+11111111111111111111111111000000
+00000000000000000000000000000000
+00111111111111111111111111111111
+11000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000011111111111111
+11111111111111111100000000000000
+00000000000000000000000000111111
+11111111111111111111111111000000
+00000000000000000000000000000000
+00111111111111111111111111111111
+11000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00110000000000000010000000000001
+00000010000000000000000000000000
+00110000000000000100001001001001
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00110000000000000010000000000001
+00000010000000100000000000000000
+00110000000000000100001001000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00110000000000000000000000000001
+00000000000000000100100000100111
+00110000000000001000000000000001
+00000000000000000000000000000011
+00110000000000000100000000001001
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00110000000000001000000000000001
+00000000000000000000000000000101
+00110000000000001010000000000001
+00000000000000000000000000000000
+00110000000000000000000000000001
+00000000000000001100000101111101
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
+00000000000000000000000000000000
diff --git a/pciradio.ucf b/pciradio.ucf
new file mode 100755
index 0000000..0a6dd15
--- /dev/null
+++ b/pciradio.ucf
@@ -0,0 +1,114 @@
+NET "A<0>" LOC = "P82";
+NET "A<1>" LOC = "P83";
+NET "A<2>" LOC = "P84";
+NET "A<3>" LOC = "P86";
+NET "D<0>" LOC = "P73";
+NET "D<1>" LOC = "P70";
+NET "D<2>" LOC = "P69";
+NET "D<3>" LOC = "P66";
+NET "D<4>" LOC = "P60";
+NET "D<5>" LOC = "P57";
+NET "D<6>" LOC = "P56";
+NET "D<7>" LOC = "P53";
+NET "LED<0>" LOC = "P55" ;
+NET "LED<1>" LOC = "P58" ;
+NET "LED<2>" LOC = "P59" ;
+NET "LED<3>" LOC = "P62" ;
+NET "LED<4>" LOC = "P65" ;
+NET "LED<5>" LOC = "P67" ;
+NET "LED<6>" LOC = "P68" ;
+NET "LED<7>" LOC = "P71" ;
+NET "CLK0" LOC = "P39" ;
+NET "CLK" LOC = "P34" ;
+NET "FS<0>" LOC = "P22" ;
+NET "FS<1>" LOC = "P30" ;
+NET "FS<2>" LOC = "P31" ;
+NET "FS<3>" LOC = "P32" ;
+NET "READ" LOC = "P88" ;
+NET "WRITE" LOC = "P36" ;
+NET "TJFSC" LOC = "P93" ;
+NET "led<0>" DRIVE = 24;
+NET "led<1>" DRIVE = 24;
+NET "led<2>" DRIVE = 24;
+NET "led<3>" DRIVE = 24;
+NET "led<4>" DRIVE = 24;
+NET "led<5>" DRIVE = 24;
+NET "led<6>" DRIVE = 24;
+NET "led<7>" DRIVE = 24;
+NET "led<0>" IOSTANDARD = LVTTL;
+NET "led<1>" IOSTANDARD = LVTTL;
+NET "led<2>" IOSTANDARD = LVTTL;
+NET "led<3>" IOSTANDARD = LVTTL;
+NET "led<4>" IOSTANDARD = LVTTL;
+NET "led<5>" IOSTANDARD = LVTTL;
+NET "led<6>" IOSTANDARD = LVTTL;
+NET "led<7>" IOSTANDARD = LVTTL;
+NET "cor<0>" LOC = "p18";
+NET "cor<1>" LOC = "p19";
+NET "cor<2>" LOC = "p20";
+NET "cor<3>" LOC = "p21";
+NET "cs<0>" LOC = "p44";
+NET "cs<1>" LOC = "p45";
+NET "cs<2>" LOC = "p46";
+NET "cs<3>" LOC = "p47";
+NET "din" LOC = "p41";
+NET "dout" LOC = "p43";
+NET "irq" LOC = "p54";
+NET "ptt<0>" LOC = "p13";
+NET "ptt<1>" LOC = "p15";
+NET "ptt<2>" LOC = "p16";
+NET "ptt<3>" LOC = "p17";
+NET "sclk" LOC = "p40";
+NET "test<0>" LOC = "p95";
+NET "test<1>" LOC = "p96";
+NET "test<2>" LOC = "p97";
+NET "test<3>" LOC = "p98";
+NET "a<0>" IOSTANDARD = LVTTL;
+NET "a<1>" IOSTANDARD = LVTTL;
+NET "a<2>" IOSTANDARD = LVTTL;
+NET "a<3>" IOSTANDARD = LVTTL;
+NET "clk" IOSTANDARD = LVTTL;
+NET "clk0" IOSTANDARD = LVTTL;
+NET "cor<0>" IOSTANDARD = LVTTL;
+NET "cor<1>" IOSTANDARD = LVTTL;
+NET "cor<2>" IOSTANDARD = LVTTL;
+NET "cor<3>" IOSTANDARD = LVTTL;
+NET "cs<0>" IOSTANDARD = LVTTL;
+NET "cs<1>" IOSTANDARD = LVTTL;
+NET "cs<2>" IOSTANDARD = LVTTL;
+NET "cs<3>" IOSTANDARD = LVTTL;
+NET "d<0>" IOSTANDARD = LVTTL;
+NET "d<1>" IOSTANDARD = LVTTL;
+NET "d<2>" IOSTANDARD = LVTTL;
+NET "d<3>" IOSTANDARD = LVTTL;
+NET "d<4>" IOSTANDARD = LVTTL;
+NET "d<5>" IOSTANDARD = LVTTL;
+NET "d<6>" IOSTANDARD = LVTTL;
+NET "d<7>" IOSTANDARD = LVTTL;
+NET "din" IOSTANDARD = LVTTL;
+NET "dout" IOSTANDARD = LVTTL;
+NET "fs<0>" IOSTANDARD = LVTTL;
+NET "fs<1>" IOSTANDARD = LVTTL;
+NET "fs<2>" IOSTANDARD = LVTTL;
+NET "fs<3>" IOSTANDARD = LVTTL;
+NET "irq" IOSTANDARD = LVTTL;
+NET "ptt<0>" IOSTANDARD = LVTTL;
+NET "ptt<1>" IOSTANDARD = LVTTL;
+NET "ptt<2>" IOSTANDARD = LVTTL;
+NET "ptt<3>" IOSTANDARD = LVTTL;
+NET "read" IOSTANDARD = LVTTL;
+NET "sclk" IOSTANDARD = LVTTL;
+NET "test<0>" IOSTANDARD = LVTTL;
+NET "test<1>" IOSTANDARD = LVTTL;
+NET "test<2>" IOSTANDARD = LVTTL;
+NET "test<3>" IOSTANDARD = LVTTL;
+NET "tjfsc" IOSTANDARD = LVTTL;
+NET "write" IOSTANDARD = LVTTL;
+NET "ptt<0>" PULLUP;
+NET "ptt<1>" PULLUP;
+NET "ptt<2>" PULLUP;
+NET "ptt<3>" PULLUP;
+NET "cor<0>" PULLUP;
+NET "cor<1>" PULLUP;
+NET "cor<2>" PULLUP;
+NET "cor<3>" PULLUP;
diff --git a/pciradio.vhd b/pciradio.vhd
new file mode 100755
index 0000000..b15eee3
--- /dev/null
+++ b/pciradio.vhd
@@ -0,0 +1,160 @@
+-- Prototype VHDL for Zapata Telephony PCI Radio Card, Rev. A 11/20/04
+-- Author: Jim Dixon, WB6NIL <jim@lambdatel.com>
+--
+-- Copyright (c) 2001-2002, Jim Dixon.
+--
+-- Jim Dixon <jim@lambdatel.com>
+--
+-- This program is free software, and the design, schematics, layout,
+-- and artwork for the hardware on which it runs is free, and all are
+-- distributed under the terms of the GNU General Public License.
+--
+
+-- Implements the following registers:
+--
+-- Offset 0, Write
+-- Bit 0 - SCLK
+-- Bit 1 - DIN
+-- Bit 4-7 CS0 thru CS3
+--
+-- Offset 1, Write
+-- Bit 0-3 - PTT 0-3
+-- Bit 4-7 - Test 0-3
+--
+-- Offset 2, Write
+-- Bit 0-7 - LED's
+--
+-- Offset 0, Read
+-- Bit 0-3 - COR 0-3
+-- Bit 4 - DOUT
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+
+-- Uncomment the following lines to use the declarations that are
+-- provided for instantiating Xilinx primitive components.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity pciradio is
+ Port ( CLK0 : in std_logic;
+ RST : in std_logic;
+ CLK : out std_logic;
+ FS : out std_logic_vector(3 downto 0);
+ WRITE : in std_logic;
+ READ : in std_logic;
+ A : in std_logic_vector(3 downto 0);
+ D : inout std_logic_vector(7 downto 0);
+ LED : out std_logic_vector(7 downto 0);
+ COR : in std_logic_vector(3 downto 0);
+ PTT : out std_logic_vector(3 downto 0);
+ TEST : out std_logic_vector(3 downto 0);
+ CS : out std_logic_vector( 3 downto 0);
+ SCLK : out std_logic;
+ DIN : out std_logic;
+ DOUT : in std_logic;
+ IRQ : in std_logic;
+ TJFSC : out std_logic );
+end pciradio;
+
+architecture Behavioral of pciradio is
+
+component IBUFG
+ port
+ (
+ I : in std_logic;
+ O : out std_logic
+ );
+end component;
+
+
+
+signal counter: std_logic_vector(8 downto 0);
+signal WR,RD : std_logic;
+signal DBW,DBR : std_logic_vector(7 downto 0);
+
+begin
+ibufg_rd : IBUFG
+ port map
+ (
+ I => READ,
+ O => RD
+ );
+
+ibufg_wr : IBUFG
+ port map
+ (
+ I => WRITE,
+ O => WR
+ );
+
+CLK <= not counter(0);
+
+doclk: process(CLK0)
+begin
+ if CLK0'event and CLK0='0' then
+ counter <= counter + 1;
+ end if;
+end process;
+
+makefs: process(counter)
+begin
+ TJFSC <= '0';
+ if (counter = "00000000") then
+ TJFSC <= '1';
+ elsif (counter = "111111110") then
+ FS <= "0001";
+ elsif (counter = "000001110")then
+ FS <= "0010";
+ elsif (counter = "000011110") then
+ FS <= "0100";
+ elsif (counter = "000101110") then
+ FS <= "1000";
+ else FS <= "0000";
+ end if;
+end process;
+
+
+iowrite: process(A,WR)
+begin
+ if(RST = '0') then
+ TEST <= "0000";
+ PTT <= "1111";
+ LED <= "00000000";
+ CS <= "1111";
+ DIN <= '0';
+ SCLK <= '0';
+ elsif(WR'event) and (WR = '1') then
+ if(A = "0001") then
+ TEST <= DBW(7 downto 4);
+ PTT <= not DBW(3 downto 0);
+ elsif(A = "0010") then
+ LED <= DBW;
+ elsif(A = "0000") then
+ SCLK <= DBW(0);
+ DIN <= DBW(1);
+ CS <= DBW(7 downto 4);
+ end if;
+ end if;
+end process iowrite;
+
+rdmux : process(A)
+begin
+ if(A = "0000") then
+ DBR(3 downto 0) <= COR;
+ DBR(4) <= DOUT;
+ DBR(5) <= IRQ;
+ DBR(7 downto 6) <= "00";
+ else
+ DBR <= "00000000";
+ end if;
+end process rdmux;
+
+
+D <= DBR when RD = '0' else "ZZZZZZZZ";
+DBW <= D;
+
+end Behavioral;
+